BUFG、IBUFG等与全局时钟资源相关的原语简介

同步时序电路基于时钟沿触发设计,对时钟的周期、占空比和延时、抖动提出了更高的要求,为了满足同步时序设计的要求,一般要求在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。全局时钟资源一般采用全铜工艺,并且设计专用时钟缓冲与驱动结构,从而使得全局时钟达到芯片内部的所有可配置单元(clb)、i/o单元和选择块RAM的时延和抖动都最小。

与全局时钟资源相关的原语:

1、IBUFG:输入全局缓冲,是与专用全局时钟输入管教相连接的首级全局缓冲。所有的从全局时钟管脚输入的信号必须经过IBUF元。

2、IBUFGDS:IBUFG的差分形式

3、BUFG:全局缓冲,它的输入时IBUFG的输出,BUFG的输出达到FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小

4、BUFGCE:带有时钟使能端的全局缓冲

5、BUFGMUX:全局时钟选择缓冲:两个输入,一个选择则控制端,一个输出

6、BUFGDLL:BUFG+DLL

7、DCM:数字时钟管理单元,主要完成时钟的同步、移相、分频、倍频和去抖等,几乎所有的DCM应用都要使用全局缓冲资源(ISE_Architecture Wizard产生)

8、BUFGP:IBUFG+BUFG

9、IBUFG+BUFG

10、IBUFG+DCM+BUFG:通过DCM模块不仅对时钟进行同步、移相、分频混合倍频等变换,而且使全局时钟的输出达到无抖动延迟

11、普通信号+BUFG:驱动普通信号的输出

12、IBUFDS:差分信号输入缓冲器,支持低压差分信号(LVCMOS、LVDS)

13、OBUFDS:差分输出时钟缓冲器

  • 6
    点赞
  • 76
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Xilinx bufg原语是一种Xilinx FPGA器件中的布线元件,用于实现时钟信号的缓冲放大。它是一种时钟缓冲器,旨在将输入时钟信号缓冲并放大成为更强的输出时钟信号,以供其他逻辑电路使用。 在FPGA中,时钟是系统中非常重要的信号之一,用于同步和驱动各种逻辑电路的操作。然而,时钟信号的传输会遇到延迟和串扰等问题,在较长距离传输时可能会导致时钟失真和失效。为了解决这些问题,需要使用时钟缓冲器。而Xilinx bufg原语就是一种用于时钟缓冲放大的器件。 Xilinx bufg原语具有以下特点: 1. 低延迟:bufg原语可以对输入时钟信号进行缓冲,然后以较低的延迟放大为输出时钟信号。这减小了时钟信号在传输过程中的延迟,确保其他电路可以准确地接收到时钟信号。 2. 高频率:bufg原语可以适应高频率的时钟信号。它的设计和优化使得它可以在高速逻辑电路中快速缓冲和放大时钟信号,以满足各种应用的需求。 3. 低功耗:bufg原语在进行时钟缓冲和放大时,优化了功耗消耗。这对于FPGA设计来说是十分重要的,因为功耗的控制能够有效地提高器件的性能和可靠性。 总之,Xilinx bufg原语是一种用于时钟缓冲放大的重要器件,用于FPGA设计中的时钟信号缓冲和放大。它具有低延迟、高频率和低功耗等特点,可以确保时钟信号在系统中的准确性和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在微

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值