VHDL-家用报警系统设计

用VHDL设计一家用告警系统的控制逻辑,它有来自传感器的三个输入信号smoke、door、water和准备传输到告警设备的三个输出触发信号fire_alarm、burg_alarm、water_alarm以及使能信号door_en和alarm_en。

  1. 程序
library ieee;
use ieee.std_logic_1164.all;

entity alarm is 
port
(
	smoke,door,water: in std_logic;
	door_en ,alarm_en :in std_logic;
	fire_alarm ,burg_alarm, water_alarm:out std_logic

);
end alarm;

architecture alarmFunc of alarm is
component Sensor 
port
(
	SensorInput,SensorEn: in std_logic;
	SensorOutput :out std_logic
);
end component;
signal Q:std_logic_vector(2 downto 0);
begin
	FireFunc: Sensor port map(smoke,alarm_en,Q(0));
	WaterFunc: Sensor port map(water,alarm_en,Q(1));
	DoorFunc: Sensor port map(door,(alarm_en and door_en),Q(2));
	fire_alarm<=Q(0);
	water_alarm<=Q(1);
	burg_alarm<=Q(2);
end alarmFunc;
	
  1. 子程序
library ieee;
use ieee.std_logic_1164.all;

entity Sensor is 
port
(
	SensorInput,SensorEn: in std_logic;
	SensorOutput :out std_logic
);
end Sensor;

architecture SensorFunc of Sensor is
begin 
	SensorOutput<='1' when SensorEn='1'and SensorInput='1' else
	'0';
end SensorFunc;
  1. 仿真波形

在这里插入图片描述在这里插入图片描述
4.总结

刚刚学习了VHDL的并行语句,想要多多练习一下
所以就没有在使用顺序语句了
关键字:抽象,移植性

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值