七人表决器VHDL代码

**

七人表决器VHDL代码

library ieee;
use ieee.std_logic_1164.all;
entity voter7777 is
port( vote:in std_logic_vector(6 downto 0);
pass:out std_logic );
end;
architecture a of voter7777 is
begin
process (vote)
variable cont:integer range 0 to 7;
begin
cont:=0;
for i in 6 downto 0 loop
if vote(i)='1’then
cont:=cont+1;
end if;
end loop;
if cont>3 then
pass<=‘1’;
else
pass<=‘0’;
end if;
end process;
end a;

**

运行

**
在这里插入图片描述

  • 8
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值