FPGA设计入门

这篇博客介绍了如何使用Quartus-II 13.0设计1位全加器,涵盖了全加器的概念、一位全加器的真值表,以及通过原理图输入和Verilog编程两种方法实现全加器的详细步骤。包括新建工程、绘制原理图、仿真、硬件测试等关键环节。
摘要由CSDN通过智能技术生成

实验要求: 基于Quartus-II软件完成一个1位全加器的设计,分别采用:
1)原理图输入 和2)Verilog编程 这两种设计方法。软件基于quartusII 13.0版本,开发板基于Intel DE2-115。

一.什么是全加器

1.概念

全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。常用二进制四位全加器74LS283。

2.一位全加器

一位全加器的真值表如下图,其中Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci [1]
在这里插入图片描述
描述:
在这里插入图片描述

二.实现

1.新建

启动Quartus-||,新建工程选择芯片EP4CE115F29C7芯片。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值