2021-01-01

二选一选择器

结构风格描述方法

module mux2t01_strucyural(D0,D1,S,Y);
input D0,D1,S;
output Y;
wire Snot ,A,B;
not U1(Snot,S);
and U2(A,D0,Snot);
and U3(B,D1,S);
or U4(Y,A,B);
endmodule

行为风格描述方法

module mux2to1(
input D0,D1,S,
output reg Y
);
always @ (D0 or D1 or S)
if(S) Y=D0;
else Y=D1;
endmodule

modelsim仿真

`timescale 1ns/1ns
module tb_mux2to1;
reg PD0,PD1,PS;
wire PY;

mux2to1 U1(PD0,PD1,PS,PY);

initial begin
PS=0; PD0=0;PD1=0;
#1 PS=0; PD0=0;PD1=1;
#1 PS=1; PD0=0;PD1=1;
#1 $stop;
end
endmodule
*

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值