同步FIFO-Verilog

该博客详细介绍了FIFO(先进先出)存储器的Verilog实现,包括参数定义、内部信号、读写指针的管理以及空满检测。模块使用了8位数据宽度和16级深度,并在上升沿时钟触发读写操作。此外,博客还涵盖了读写操作的逻辑以及对空和满状态的判断。
摘要由CSDN通过智能技术生成
module sfifo
#(parameter DW = 8,AW = 4)//默认数据宽度8,FIFO深度16
(
    input               clk,
    input               rst_n,
    input               we,
    input               re,
    input      [DW-1:0] din,
    output reg [DW-1:0] dout,
    output              empty,
    output              full
    );
	 
// internal signal
parameter Depth = 1 << AW;//depth of FIFO 
reg [DW-1:0]ram[0:Depth-1];
reg [AW:0]  cnt;
reg [AW-1:0]wp;
reg [AW-1:0]rp;

// FIFO declaration
// 空满检测
assign empty = (cnt == 0) ? 1'b1 : 1'b0;
assign full  = (cnt == Depth) ? 1'b1 : 1'b0;

// cnt 计数
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        cnt <= 1'd0;
    else if(!empty & re & !full & we)//同时读写
        cnt <= cnt;
    else if(!full & we)//写
        cnt <= cnt + 1;
    else if(!empty & re)//读
        cnt <= cnt - 1;
    else 
        cnt <= cnt;
end

// 读指针
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        rp <= 1'b0;
    else if(!empty & re)
        rp <= rp + 1'b1;
    else
        rp <= rp;
end

//写指针
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        wp <= 1'b0;
    else if(!full & we)
        wp <= wp + 1'b1;
    else
        wp <= wp;
end

// 读操作
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        dout <= {DW{1'bz}};
    else if(!empty & re)
        dout <= ram[rp];
    else
        dout <= dout;
end

//写操作
always@(posedge clk)
begin
    if(!full & we)
        ram[wp] <= din;
    else
        ram[wp] <= ram[wp];
end

endmodule

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马志高

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值