FPGA学习——ipcore之rom

1.创建ipcore


2.例化创建的ipcore(将ipcore_inst中copy到顶层文件)

3.将ipcore中的信号端口在顶层文件中说明

module ex_ipcore(
    input wire sclk,
    output wire oclk1,
    output wire oclk2,
	output reg [1:0] cnt,
	output wire [7:0] odata,
    output wire locked
);

reg [7:0] raddr=0;
always @(posedge oclk1) begin
	cnt <= cnt + 1'b1;
end
always @ (posedge oclk1) begin
	raddr <= raddr + 1'b1;
end

pll1	pll1_inst (
	.inclk0 ( sclk ),
	.c0 ( oclk1 ),
	.c1 ( oclk2 ),
	.locked ( locked )
	);

rom_8x256	rom_8x256_inst (
	.address ( raddr ),
	.clock ( oclk1 ),
	.q ( odata )
	);

endmodule

4.仿真

quit -sim
.main clear

vlib work

vlog ./tb_ex_ipcore.v
vlog ./altera_lib/*.v
vlog ./../design/*.v
vlog ./../ipcore_dir/PLL1/pll1.v
vlog ./../ipcore_dir/rom_8x256.v

vsim -voptargs=+acc work.tb_ex_ipcore

add wave tb_ex_ipcore/ex_ipcore_inst/*

run 1us

5.结果

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值