FPGA的ROM-IP核配置问题

2 篇文章 0 订阅
2 篇文章 0 订阅

ROM-IP核文件名的配置

ROMIP核的创建、参数配置不再赘述,网上已有大量资料。
这里着重强调的是ROMIP核配置过程中ROM数据文件的路径问题,这一问题会导致Modelsim无法正确仿真,具体表现是Modelsim无法读出ROM文件数据。
进行ROMIP核的正确配置,直到文件名选择页面,如下:

1、点击Browse,弹出文件夹浏览页面。注意!文件夹的默认路基是工程路径prj下,系统默认文件是.hex文件,笔者小熊使用的文件格式是.mif,点击下拉箭头,选择.mif格式
在这里插入图片描述
2、选择文件类型.mif,选择之前拷贝好的.mif文件,双击打开
在这里插入图片描述
3、双击文件后,自动返回到ROMIP核配置页面,看到file name已经存在刚刚所选择的文件名和路径。路径./是当前路径也就是\FPGA\18_ROM_test\prj
在这里插入图片描述
5、注意!点一下Next到下一步,再Back返回,奇怪的是!刚刚的./data_510_A.mif变成了data_510_A.mif,./竟然凭空消失了!!!
在这里插入图片描述
6、点击Finish完成ROMIP核的配置,写好.v程序和tb文件后,编译并观看仿真波形,可以看到波形完美的加载出来。
在这里插入图片描述
7、ROMIP核文件名配置选项稍作改变,将.mif文件放在prj\ip文件夹下,然后重新加载ip文件夹中的.mif文件。看到文件名路径改变为./ip/data_510_A.mif,点击Finish结束IP核配置。
在这里插入图片描述
8、编译后观看仿真波形,发现ROM的数据读出值q一直为0!!!
在这里插入图片描述
由此得出,ROMIP核配置时,尽管文件的路径正确(非默认路径),但仿真无法加载文件数据。
最好将.mif或.hex文件放置在默认路径中!!!否则无法正确读出ROM中的值!

  • 4
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值