第一章 FPGA数字信号处理_数字混频(NCO与DDS)

这是数字信号处理系列的第一篇,以简单的数字混频为例,介绍在FPGA程序设计中很重要的二进制原码、补码;有符号数、无符号数的问题。本文不是像课本那样介绍这些基础概念,而是介绍很实际的设计方法。

借助于数字混频这个设计,本文还会介绍用途非常广泛的Altera公司Quartus中的NCO IP核、Xilinx公司Vivado中的DDS Compiler IP核的具体使用方法。

混频原理
混频就是把两个不同的频率信号混合,得到第三个频率。在模拟电路中经常见到的就是把接收机接收到的高频信号,经过混频变成中频信号,再进行中频放大,以提高接收机的灵敏度。

数字电路中最简单的混频便是两个信号做乘法,可以得到它们的和频信号与差频信号。数字混频在通信的调制、解调、DUC(数字上变频)、DDC(数字下变频)等系统中应用广泛。通常把其中一个信号称为本振信号(local oscillator),另一个信号称为混频器的输入信号。

程序设计
本文的程序设计参考自杜勇老师的书《数字滤波器的MATLAB与FPGA实现-Altera/Verilog版》,对其中部分设计细节做了修改。杜勇老师的这个系列共有三本书,很推荐大家购买学习。不过可能由于篇幅有限,杜勇老师在书中对设计的一些细节和思想没有做详细介绍,博主在本文中和大家讨论讨论。

程序设计系统时钟5MHz,625kHz的输入信号与625kHz的本振信号做混频,根据混频原理会得到1.25MHz的和频信号与0Hz(直流),将直流滤除掉得到1.25MHz的有效信号。
设计的顶层模块接口如下所示:

module Mixer
(
    input clk,            //5MHz系统时钟
    input rst_n,          //低电平有效复位信号
    input [9:0] din,      //输入信号
    output [9:0] s_oc,    //本振信号,625kHz
    output out_valid,     //NCO输出有效信号
    output [19:0] dout    //混频输出信号
);

程序中首先生成本振信号。Quartus和Vivado中都提供了类似功能的IP核:Vivado中叫DDS(Direct Digital Synthesizers)Compiler;Quartus中叫NCO(Numerically controlled oscillators)。下面以实例化NCO为例,具体的设计方法在下文讲解。

wire [9:0] oc_sin;

oc oc
(
    .phi_inc_i  (16'd8192),   //相位增量,对应625kHz
    .clk        (clk),        
    .reset_n    (rst_n),      
    .clken      (1'b1),       //时钟允许信号
    .fsin_o     (oc_sin),     //本振正弦信号
    .out_valid  (out_valid)   //输出有效标志
);

接下来用乘法进行混频。我们都知道计算机中有带符号数signed和无符号数unsigned,还知道计算机经常以二进制补码的形式的表示带符号数。

在FPGA设计中,不管是Altera还是Xilinx,它们的IP核几乎都是采用二进制补码带符号数,也有很多的ADC、DAC芯片的数据接口也采用的是二进制补码。因此,在设计中,我们要清楚什么时候用什么数值表示法。

比如NCO的输出为带符号数二进制补码,假设混频的输入信号也是带符号数二进制补码,则在整个混频程序设计中都要保持这个数值表示方法,否则就会出错。

在下面的方法1中,再定义一个带符号的寄存器将输入信号转换为带符号数是很有必要的:“wire signed [9:0] din_s = din;”。如果不这样做,直接使用乘法运算符“*”,会被综合为无符号数乘法,得到的就是错误的结果。

当然也可以用方法2,乘法器IP核可以选择计算方式是“signed”还是“unsigned”,将乘法器设置为signed也可以完成正确的计算。

//----------------------------------------------------
//     乘法实现混频,方法1,转换为带符号数后再相乘
//----------------------------------------------------
//reg signed [19:0] mult; 
//wire signed [9:0] din_s = din;
//wire signed [9:0] oc_sin_s = oc_sin;
//
//always @(posedge clk or negedge rst_n)
//  if (!rst_n) mult <= 20'd0;
//  else mult <= din_s * oc_sin_s;

//----------------------------------------------------
//     乘法实现混频,方法2,调用乘法器IP核,设置为signed
//----------------------------------------------------


wire signed [19:0] mult; 

mult1   mult1_inst (
    .clock ( clk ),
    .dataa ( din ),
    .datab ( oc_sin ),
    .result ( mult )
    );

接下来滤除混频后的直流信号。由于乘法的运算结果为带符号数,接下来的计算使用到的寄存器都应申明为signed。

需要强调的是,signed和unsigned的申明只是告诉设计的运算如何看待这个寄存器中的数,并不能改变寄存器的值。比如“11”这个值,如果申明为signed,运算将其视作-1,如果申明为unsigned,运算将其视作3。因为有符号数和无符号数的运算法则是不一样的,所以错误的申明会导致结果计算错误。

reg signed [19:0] m1,m2,m3,m4,m5,m6,m7;

// 5MHz/625kHz=8; 缓存连续8个点的值
always @ (posedge clk or negedge rst_n)
    if (!rst_n) begin
        m1 <= 20'd0; m2 <= 20'd0; m3 <= 20'd0;
        m4 <= 20'd0; m5 <= 20'd0; m6 <= 20'd0; m7 <= 20'd0;
    end
    else begin
        m1 <= mult; m2 <= m1; m3 <= m2; m4 <= m3; 
        m5 <= m4; m6 <= m5; m7 <= m6;
    end

wire signed [22:0] madd = mult+m1+m2+m3+m4+m5+m6+m7;     //一个周期
wire signed [19:0] mean = madd[22:3];   //舍掉低3bit,相当于除8,得到
wire signed [19:0] mt = mult - mean;    //滤除直流分量
assign dout = mt;
assign s_oc = oc_sin;   

endmodule

上面滤除直流分量的方法并不通用,由于5Mhz的系统时钟是625kHz信号的8倍,所以连续8个点的平均值便是直流分量。不过程序设计思路还是可以学习,比如依次移位缓存数据、截高位做除法这些小技巧。
NCO IP核的使用
在Quartus中打开该IP核,配置界面如下。后面的Quartus版本中将IP核集成到了qsys中,配置界面略有不同,但设置的参数之类的基本一样。
在这里插入图片描述
设定好相位累加器精度、角度分辨率、幅度精度、系统时钟和输出信号频率,便可以得到一个相位增量值(phase increment value),在实例化NCO模块时传入的便是这个值。实际的输出频率和设定的频率会存在一定误差,下方便展示了输出信号的频域和时域图形。总体来说设置比较简单。

如果需要仿真,在生成IP核前一定要在“Set up simulation”中选中“Generate Simulation Model”和“Generate netlist”,如下图所示。否则在导入ModelSim时会失败,无法进行仿真。
在这里插入图片描述
DDS Compiler IP核的使用
基本配置
在Vivado中打开DDS Compiler IP核,配置界面如下:
在这里插入图片描述
这个IP核的配置选项更丰富,提供的功能也更强大。我这里只介绍下本设计用到的功能,其余具体信息可以参考Xilinx官方文档pg141。

同样设定系统时钟,Parameter Selection选择“System Parameters”,这种设计方式可以直接设置无杂散动态范围、频率分辨率、输出频率等系统级的参数,和Quartus的NCO IP核很像。另外一种“Hardware Parameters”设计方式需要自己设定输出数据和相移的位宽,输出频率、相位偏移等值需要自己计算对应的二进制数值。这两种设计方式向不同需求的设计者提供。

pg141中给出了总线位宽与系统参数之间的转换关系公式。

在这里插入图片描述
相位增量和相位偏移都可以设置为可编程的“Programmable”和“Streaming”方式,本设计只需要产生625kHz固定频率的本振信号,设置为“Fixed”即可(所需资源少)。在“Summary”中可以看到整个DDS系统的详细信息。

位宽问题
需要提醒的是系统最终的实际信号位宽和总线接口位宽并不一致。IP核的位宽只会是8的倍数,多余的位数会移符号为填充,如下图所示。
在这里插入图片描述
更直观的感受,看一个DDS Compiler IP核的仿真:
在这里插入图片描述
可以看到相移虽然有16bit的位宽,但是有效的只有低10bit,高位都是符号为。为了更好的观察相位值,新建一个“virtual bus“,将低10bit加到bus中,如下图所示:
在这里插入图片描述
可以清楚的看到相位和幅度之间的关系。

产生sin与cos信号
很多系统中需要sin和cos信号(如解调系统中的本振信号),在DDS中设置为“Sine and Cosine”输出时,sin和cos信号会共用数据总线,sin使用高字节,cos使用低字节,格式如下:
在这里插入图片描述
产生带有相位偏移的信号
如果需要生成带有可调初始相位(也叫相位偏移Phase Offset)的信号,在DDS中将“Phase Offset Programmability”设置为“Streaming”,IP核端口会增加一个PHASE输入通道,该通道数据总线的有效位宽与设置的频率分辨率(Frequency Resolution)有关,可以在Summary界面中看到位宽(Phase Width)。该数据总线与360°相位之间线性对应。比如Phase Width为16Bits,则0对应0°,FFFF对应360°,7FFF对应180°,以此类推。

NCO和DDS是经常用到的IP核,在后面的“FPGA数字信号处理“系列介绍的其它系统中,也会经常出现,因此需要熟悉掌握这两个IP核的使用。

  • 6
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
### 回答1: FPGA信号处理在科技发展中扮演着极为重要的角色,因为它能够有效地将信号转化成数字信号,进而进行数字信号处理,这项技术运用到了FPGA的优势,充分发挥了它在处理速率和运算强度上的特点。 高亚军是FPGA领域的权威专家,在这个领域中,他凭借着自己过硬的技术和对行业趋势的准确洞察,取得了许多业内人士的认可和赞誉。他对于FPGA在信号处理方面的应用有着非常深入的研究和经验积累,在这个领域里起着重要的推动作用。 总体来说,FPGA在信号处理方面的应用非常广泛,涵盖了很多领域,例如音视频信号处理,雷达信号处理等等。而随着大数据和人工智能技术的普及,FPGA信号处理的作用也会变得越来越重要。未来,在高亚军等专家们的不断努力下,FPGA信号处理技术有望取得更加巨大的进展和突破。 ### 回答2: FPGA 是一种可编程逻辑器件,可以通过编写代码来实现任意的逻辑控制和数据处理功能,因此被广泛应用于信号处理领域。在信号处理中,FPGA 通常被用作数字信号处理器,可以实现各种数字滤波、快速傅里叶变换、数字信号控制等功能。使用 FPGA 进行信号处理,可以获得比基于传统电路的解决方案更高的性能和灵活性,同时还可以根据需要进行实时重新配置,以满足不同的应用需求。 高亚军是 FPGA 发展领域的专家,他的研究涉及 FPGA 在各种领域的应用和优化,尤其是在数字信号处理和高性能计算领域。他一直致力于推进 FPGA 技术的发展和创新,并在 FPGA 应用方面取得了许多成果。高亚军提出了许多 FPGA 相关的理论和算法,开发了高性能 FPGA 应用平台和相关软件工具,这些工具和平台被广泛应用于科研和工业领域的信号处理和计算方面。他的贡献不仅体现在学术界,还得到了工业界的高度认可和赞誉。 总之,FPGA 在信号处理领域有着广泛的应用前景,高亚军等专家的研究和创新,将进一步推动 FPGA 技术的发展,为数字信号处理和计算领域的发展提供有力的支持。 ### 回答3: FPGA是可编程逻辑门阵列,它可以实现数字信号处理并更好地适应不同的应用需求,具有很强的灵活性和可重构性。而信号处理通常是指将采集到的信号进行采样、预处理、滤波、降噪、调制等处理,以实现信号分析、识别、提取、压缩等操作。在这一过程中,FPGA可以拥有更高的处理速度和更低的延迟,从而提高信号处理的效率。 FPGA在信号处理领域的应用广泛,例如音频、视频、通信等领域,通过采用FPGA进行数字信号处理,可以实现高精度、高速度和低功耗的信号处理系统。对于音频和视频处理,FPGA可以实现音频编解码、视音频信号处理、信号调节等,提升音视频的质量和效果;对于通信领域,FPGA可以实现高速率、高精度的数字信号处理,提高系统的通信性能。 同时,FPGA还可以实现信号的并行处理和分布式处理,增强信号处理的并行性和灵活性。并行处理可以提高信号处理的效率,而分布式处理可以实现对不同任务的分配和处理,并实现不同处理单元之间的通信和同步。 总之,FPGA在信号处理领域具有很大的潜力和优势,可以实现数字信号处理系统的高效、高速、低功耗等优质特性,同时FPGA还可以适应不同应用领域的需要,是数字信号处理技术发展的重要组成部分之一。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_44985628

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值