VHDL 简单的同步整形

如有错误,望指正。
Entity tongbu is
Port(clk,rst:in std_logic;
         sin:in std_logic;
         sout:out std_logic);
end tongbu;
Architecture Behavior of tongbu is
signal q0,q1:std_logic;
begin
process(clk,rst)
begin
if rst='0' then
    q0=0;
    q1=0;
elsif rising_edge(clk) then
    q0<=sin;
    q1<=q0;
end if;
end process;
sout<=q0 and (not q1);
end Behavior;
上升沿同步。实际上构建了两个D触发器。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值