VHDL——异步/同步复位的上升沿D触发器

1.管脚图
在这里插入图片描述
2.异步复位

library ieee;
use ieee.std_logic_1164.all;

entity dffyibu is
    port(d,clk,reset : in std_logic;
	      q,qb : out std_logic);
end dffyibu;

architecture behave of dffyibu is
begin
  process(clk,reset)
  begin  
    if(reset = '0')then
		q <= '0';
		qb <= '1';
	 elsif(clk'event and clk = '1') then
	   q <= d;
		qb <= not d;
    end if;
  end process;
end behave;

3.同步复位

library ieee;
use ieee.std_logic_1164.all;

entity dfftongbu is
    port(d,clk,reset : in std_logic;
	      q,qb : out std_logic);
end dfftongbu;

architecture behave of dfftongbu is
begin
  process(clk,reset)
  begin
    if(clk'event and clk = '1') then
      if(reset = '0') then
        q <= '0';
		  qb <= '1';
		else
        q <= d;
		  qb <= not d;
		end if;
    end if;
  end process;
end behave;  
  • 2
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值