呼吸灯实验

实验任务:
本节实验任务是使用正点原子ZYNQ开发板(核心板)上的PL LED,实现呼吸灯的效果,即由灭渐亮,然后再由亮渐灭。

模块示意图:

 

模块代码实现:

module breath_led(
input        sys_clk,//时钟信号50Mhz
input        sys_rst_n,//复位信号

output      led

);
//reg define
reg [15:0]        period_cnt;//周期计数器频率:1khz周期:1ms计数值:1ms/20ns=50000
reg [15:0]        duty_cycle;//占空比数值
reg                  inc_dec_flag;//0递增1递减

assign led=(period_cnt>=duty_cycle)?1'b1:1'b0;19
//周期计数器
always@(posedge sys_clk or negedge sys_rst_n)begin
        if(!sys_rst_n)
                period_cnt<=16'd0;
        else if(period_cnt==16'd50000)
                period_cnt<=16'd0;
        else
                period_cnt<=period_cnt+1'b1;
end

always@(posedgesys_clk or negedge sys_rst_n)begin
        if(!sys_rst_n)begin
                duty_cycle<=16'd0;
                inc_dec_flag<=1'b0;
        end
        else begin
                if(period_cnt==16'd50000)begin//计满1ms
                        if(inc_dec_flag==1'b0)begin//占空比递增状态
                                if(duty_cycle==16'd50000)//如果占空比已递增至最大
                                        inc_dec_flag<=1'b1;//则占空比开始递减
                                else//否则占空比以25为单位递增
                                        duty_cycle<=duty_cycle+16'd25;
                                end
                        else begin//占空比递减状态
                                if(duty_cycle==16'd0)//如果占空比已递减至0
                                        inc_dec_flag<=1'b0;//则占空比开始递增
                                else//否则占空比以25为单位递减
                                        duty_cycle<=duty_cycle-16'd25;
                                end
                        end
                end

end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值