【Quartus II】全加器

本文详细介绍了如何使用Quartus II通过原理图输入和Verilog语言实现全加器。从新建工程、构建半加器和全加器原理图,到创建波形文件、硬件绑定和下载测试,最后通过Verilog实现全加器并进行仿真验证。总结了Quartus II与Modelsim在FPGA设计中的便利性。
摘要由CSDN通过智能技术生成

一.原理图输入实现全加器

1.新建工程

点击File->New Project Wizard…

在这里插入图片描述

在这里插入图片描述

选择开发板

在这里插入图片描述

选择仿真软件

在这里插入图片描述

2.新建半加器原理图文件

点击File->New->Block Diagram/Schematic File

在这里插入图片描述

在工具栏选择相应元件,组成如下线路

在这里插入图片描述

将该文件保存为half_adder.bdf,并点击如下图标进行编译

在这里插入图片描述

若产生报错,则线路存在问题,如某些地方线路没连上,如果仅仅是警告信息,则可忽略。

将项目设置成可以调用的元件

点击File->Create/Update->Create Symbol Files for Current File

  • 11
    点赞
  • 108
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值