自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(87)
  • 问答 (1)
  • 收藏
  • 关注

原创 Verilog 字符串赋值

在整理的时候发现Veriolg可以直接对字符串进行赋值。这里进行记录补充Verilog HDL同样也支持字符串的使用,使用双引号“”表示字符串内容,一个字符串必须放在一行内。在表达式和赋值语句中使用字符串,工具会将其视作无符号整数,一个字符对应一个8bit的ASCII码。\n、\r、\t、\和"等常用的转义字符,Verilog HDL也同样支持。

2023-01-05 15:07:32 1412 1

原创 flash基础知识

flash的特性是,写数据只能将1写为0,0不能写为1。擦除数据是将所有数据都写为1。因此如果想在已经数据的flash上写入新的数据,则必须先擦除。

2022-12-21 10:09:44 15882 1

原创 SPI协议详解

一、SPI简介二、接口三、SPI总线个特点:(一)主从模式(二)同步传输(三)全双工串行通信(数据传输高位在前,低位在后)四、SPI总线传输的4种模式(一)SPI总线的极性(二)SPI总线的相位(三)四种模式五、关于提高传输速率(一)标准SPI–三线SPI(二)Dual SPI–四线SPI(三)Quad SPI–六线

2022-12-20 10:05:26 2514 2

原创 Lattice Diamond关于原语的使用

前一期有简单提到原语Lattice系列内存时序的概念,这里重点研究原语,英文名称primitive,是FPGA软件集成开发环境所提供的一系列底层逻辑功能单元。由于是底层逻辑功能单元,所以它们往往跟目标FPGA芯片以及芯片厂商紧密相关,因此不同厂商、不同器件的原语往往不能通用。当编译器对 HDL代码进行编译时,其中间环节的一些输出往往就是由原语组成的逻辑网表。因此,原语往往是不参与综合过程的,而使用原语描述的逻辑往往也不会被综合工具所优化。

2022-12-19 17:14:08 1568

原创 RS485总线详解

前面有讲过协议,具体参考FPGA学习笔记(Day12)SingalTap使用&&Uart串口通信本次详细了解介绍。RS485:en置0;本模块参考RS485基本知识485协议规定两条线上电压差值为多少表示0或者1,电压通过仪表可以测量得到,所以说RS-485是硬件层协议。485的接收端可能是另外一个MCU,MCU管脚只接受TTL电平,需要将两条线的差分电压转换为TTL电平。基本电平转换:TTL电平 转 485电平 485电平 转 TTL电平 给MCU。

2022-12-14 09:05:10 15046

原创 Verilog系统函数

在Verilog HDL语言中每个系统函数和任务前面都用一个标识符$来加以确认。这些系统函数和任务提供了非常强大的功能。在ModelSim仿真时添加系统函数利于调试。$width函数用于检查信号脉冲的宽度是否达到要求。而信号脉冲的宽度由信号的reference_event和data_event决定,如下图所示;作用是用来输出信息,即将参数p2到pn按参数p1给定的格式输出。参数p1通常称为“格式控制”,参数p2至pn通常称为“输出表列”。$display自动地在输出后进行换行。time和。

2022-12-08 15:41:11 5331 1

原创 CRC校验——以SHT4xA温湿度传感器为例

循环冗余校验码(CRC)的基本原理是:在K位信息码后再拼接R位的校验码,整个编码长度为N位,因此,这种编码又叫(N,K)码。对于一个给定的(N,K)码,可以证明存在一个最高次幂为N-K=R的多项式G(x)。根据G(x)可以生成K位信息的校验码,而G(x)叫做这个CRC码的生成多项式。具体参考CRC校验——以SHT30温湿度传感器为例(内附SHT30的驱动代码)(1) 预置一个值为0xFFFF的16位寄存器,此寄存器为CRC寄存器(2) 把第一个8位二进制数与16位的CRC寄存器相异或,异或的结果存在CRC

2022-12-05 11:19:51 1215 2

原创 Lattice库联合ModelSim仿真FIFO

本实验FPGA基于,软件和掌握基本使用方法后,调用FIFO的IP核,用Lattice联合ModelSim仿真。1.项目器件设置具体参考02_LATTICE入门篇之新建项目2.IP核配置具体参考05_LATTICE入门篇之IP核此处参考Modelsim添加Lattice库1.在modelsim目录下,新建一个文件夹,比如lattice,并进入此文件夹2.新建一个名为的文本文件,输入如下脚本:3.运行脚本打开命令提示符进入 所在目录下运行脚本4.回到modesim主目录:,找到文件,

2022-12-03 10:42:00 1825 3

原创 Lattice Diamond安装与学习

Lattice Diamond®软件是低成本的低功耗Lattice FPGA架构的前沿软件设计环境。它是ispLEVER的下一代替代品。,是个报告窗口目录,从这里可以查看综合、布局布线报告信息、以及资源管脚的使用情况。4.综合实现,生成BIT文件,在项目面板下面切换选项卡进入“3.Spreadsheet View显示引脚分配。”直接生成bit文件,如下图所示。具体流程可以参考起始界面右侧。选项有各种文件类型对应的。

2022-12-02 14:11:04 2555

原创 ALTERA和LATTICE系列FIFO/RAM时序的区别

本文是对Lattice系列内存时序、FIFO验证补充、关于fifo和ram时序验证以及altera系列fifo和ram的总结。为了方便比对统一用无寄存器的统一总类型的存储器对比。可以看出输出Q在时序上,LATTICE比ALTERA延迟一个周期输出数据。

2022-09-24 10:44:29 523

原创 Lattice系列内存时序

1.三种方式本文主要是ECP5™系列FPGA设备的内存。设计人员可以通过三种不同的方式来使用内存源语。方式说明– Clarity Designer GUI 允许用户指定所需的内存类型和大小。Clarity Designer 采用此规范并构造一个网表,通过使用一个或多个内存原语来实现所需的内存。PMIPMI 允许有经验的用户跳过图形界面,并从 Lattice Diamond® 项目导航器中即时使用可配置内存原语。可以设置 Verilog 或VHDL 所需的参数和控制信号。

2022-09-23 18:32:44 2209

原创 FIFO验证补充

本文是基于的补充关于fifo和ram时序验证本次使用的FPGA型号是: 10CLO16YU484C8G25M时钟:GCLK引脚G2,1.无寄存q的可以看到,总的寄存器个数是799.2.有寄存的可以看到,总的寄存器个数是808.可以看出有输出寄存的占用资源更多。

2022-09-23 10:52:20 461

原创 关于fifo和ram时序验证

本文是基于altera系列fifo和ram和FPGA学习笔记之IP核调用的补充,Quartus基本IP核调用及仿真)本次使用的FPGA型号是: 10CLO16YU484C8G25M时钟:GCLK引脚G2,,

2022-09-22 14:55:51 1231

原创 altera系列fifo和ram

能同时进行两个端口读,能同时进行两个端口写,也能一个端口读同时另一个端口写。Altera 建议使用 ALTSYNCRAM(同步) 宏功能为单端口 RAM、双端口 RAM、单端口 ROM 和双端口 ROM 构建同步存储器功能。Altera 建议您使用 ALTSYNCRAM 宏功能为单端口 RAM、双端口 RAM、单端口 ROM 和双端口 ROM 构建同步存储器功能。简单双口RAM:一组读数据和读地址线,一组写数据和写地址线,能同时进行读和写操作,但不能同时对同一地址进行读和写操作。alt:ALTERA公司。

2022-09-19 15:59:13 2329 1

原创 关于IntelliJ IDEA的几个问题

1在idea中导入vue项目并启动2.导出导入数据库3.gradle配置本地distributionUrl4.IDEA中文棱形乱码错误解决方法–控制台中文输出棱形乱码

2022-09-16 09:45:01 483

原创 转码(BIN→ASCII/BIN→BCD)

在计算机里面信息都是用二进制表示的,这种表示方法被用户理解起来是较为困难的,正是因为如此,所以为了优化和改善用户的使用体验,计算机都会配置有输入以及输出设备,这些设备的应用目的,在于让信息以可以读懂的形式展示出来,从而方便人们阅读以及理解计算机信息。为了保障用户与设备之间,以及设备和计算机之间能够准确和规范地进行信息交流,所以需要制定统一和规范的交换代码,这就是ASCII码表产生的原因,也就是说它的作用表现在,让用户更加容易和顺畅的理解计算机信息。计算机中,数值和字符是两种不同类型的数据。

2022-09-16 09:35:21 2228

原创 逻辑运算符

一、按位与/逻辑与(一)按位与1.符号2.介绍3.举例(1)相同位与(2)不同位与(3)不同位宽截断和扩展(二)逻辑与(短路与)1.符号2.介绍3.举例

2022-08-05 09:45:02 567

原创 SoC第一个工程hello_world

SoCEDS是Intel部门专门开发的SoCEDS软件,这是厂家针对SoCFPGA芯片专门开发定制的一个工具,该工具类似一个Linux虚拟机,包含了很多通用或专用的工具,支持Linu文系统中常用的各种命令如cd、ls、chmod、cat.、make,也包含了一些专用的工具,如用于生成dts文件的spocdts工具、用于生成Altera专属的preloader头文件用的mkpimage工具,用以烧写uboot和preloader文件到sd卡中用的alt-boot-disk-util工具等等。...

2022-07-18 21:13:56 1516

原创 WSL安装以及嵌入式Linux(树莓派)练习

SL是 Windows下的Linux子系统的简称,它是一个在Windows 10上能够运行原生Linux二进制可执行文件的兼容层。WSL提供了一个微软开发的Linux兼容但不包含Linux代码的内核接口,二进制文件可以在其上运行。WSL不能运行图形用户界面和那些需要未实现的Linux内核服务的软件,但可以用外部软件来实现。1.以管理员身份运行 Windows PowerShell ,然后输入如下命令启用WSL。2.Windows 功能设置–>–>,勾选“适用于Linux的Windows子系统”。3.

2022-06-19 00:56:25 923

原创 FPGA学习——VGA显示

@toc一、显示姓名学号(一)原理(二)生成字模1.点击设置2.汉字字模3.数字字模

2022-06-07 16:59:11 9084 1

原创 状态机专题练习

状态机专题练习一、状态机原理(一)有限状态机(二)Moore状态机(三)Mealy状态机(四)状态机描述方法1.一段式2.两段式3.三段式(五)状态机标准评判标准二、状态机状态设计(一)实验要求(二)设计思路(三)实现三、检测10010串的状态(一)实验要求(二)设计思路(三)实现一、状态机原理(一)有限状态机状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。有限状态机简写为FSM(Finite State Machine

2022-05-06 08:32:51 1397

原创 基于 NIOS-II 软核的流水灯

基于 NIOSII 软核的流水灯一、实验介绍(一)实验目的(二)实验内容(三)实验原理(四)实验器件二、硬件设计(一) 新建一个工程(二) Qsys 系统设计(三)完成 Qsys 设计的后续工作一、实验介绍(一)实验目的(1)学习 Quartus Prime 、Platform Designer、Nios II SBT 的基本操作;(2)初步了解 SOPC 的开发流程,基本掌握 Nios II 软核的定制方法;(3)掌握 Nios II 软件的开发流程,软件的基本调式方法。(二)实验内容使用

2022-04-08 19:23:24 1822 2

原创 VHDL组合逻辑-时序逻辑练习

一、在QuartusII 中用原理图输入法设计 4 位加法器(一)新建项目(二)创建原理图(三)仿真(四)硬件下载测试1.引脚绑定2.硬件测试二、应用QuartusII 完成基本组合电路设计(一)2选1选择器mux21a1.Verilog语言2.VHDL文件(二)多路选择器muxk三、QuartusII 完成基本时序电路的设计(一)设计触发器1.新建项目2.创建VHDL文件3.编译仿真标题(二)设计锁存器一、在QuartusII 中用原理图输入法设计 4 位加法器(一)新建项目1.File-&gt.

2022-03-26 19:33:32 3245 1

原创 FPGA编程入门:Quartus II 设计1位全加器

FPGA编程入门:Quartus II 设计1位全加器一、半加器和1位全加器原理(一)半加器(二)1位全加器二、实验目的三、Quartus II实现半加器设计一、半加器和1位全加器原理(一)半加器如果A、B两数分别表示被加数和加数,用S表示A与B的本位和,用O表示向高一位的进位数。A与B相加可归纳如下四种情况:把这四种情况,可归纳为真值表如下根据真值表,可以写出逻辑式可见本位和S的逻辑关系为“异或”逻辑,进位数image.png为“与”逻辑。MOS加法器,这种只考虑A、B两敬相加及向高位进

2022-03-16 22:39:06 8911 4

原创 Quartus II三种方式实现D触发器及时序仿真

Quartus II三种方式实现D触发器及时序仿真一、准备工作(一)软件下载(二)D触发器原理1.简介2.D触发器的基本结构与信号输入输出关系3.状态转移真值表以及状态转移图二、实验要求三、设计D触发器并仿真(一)创建工程(二)创建原理图(三)编译(四)仿真波形图参考资料一、准备工作(一)软件下载本实验需要用到两个软件:Quartus II和Modelsim SE,安装这里不做过多的介绍,具体请参照以下教程Quartus II 13.1的安装及使用Modelsim SE安装与介绍Quartu

2022-03-15 14:53:29 6550

转载 VLC保存网络流和fiddler下载视频

一、VCL软件(一)下载地址(二)VLC Media Player 简介(三)特色二、VLC保存网络流视频参考资料一、VCL软件(一)下载地址官网下载:http://www.videolan.org/vlc/(二)VLC Media Player 简介VLC多媒体播放器(最初命名为VideoLAN客户端)是VideoLAN计划的多媒体播放器。它支持众多音频与视频解码器及文件格式,并支持DVD影音光盘,VCD影音光盘及各类流式协议。它也能作为unicast或multicast的流式服务器在IPv4.

2021-12-30 08:35:49 2866

原创 FTP文件传输与Linux分割粘合文件

FTP文件传输与Linux分割粘合文件一、ftp环境搭建二、FTP文件下载上传一、ftp环境搭建1.清除vsftpd,打开ubuntu终端输入sudo apt-get purge vsftpd2.安装vsftpdsudo apt-get install vsftpd3.创建ftp用户# 创建用户sudo useradd ftpuser# 设置用户密码sudo passwd ftpuser# 修改用户的主目录 /home/ieayoio/ftpdirsudo usermod

2021-12-29 00:15:57 683

原创 telnet登录并使用SMTP和POP收发邮件(QQ邮箱)

开启QQ邮箱的SMTP服务开启TELNET客户端使用SMTP协议手工发送邮件开启QQ邮箱的SMTP服务1.登陆QQ邮箱,点击设置-账户2.找到“POP3/SMTP服务”和“IMAP/SMTP服务”项,点“开启”。3.开启需要发送短信到下面号码4.开启之后,系统会给你一个“授权码”。这个授权码将作为邮箱的登陆密码开启TELNET客户端打开控制面板->程序->启用或关闭Windows功能->TeInet客户端使用SMTP协议手工发送邮件1、打开 cmd,输入:teln.

2021-12-28 14:41:52 9263

原创 STM32F103+W5500网络通信

STM32F103+W5500网络通信一、W5500模块(一)W5500以太网模块介绍(二)模块排针功能表二、 modbus协议(一)modbus协议原理(二)Modbus 通讯方式1.Modbus三种通讯方式2.在Modbus网络上传输3.以太网(modbus tcp/ip)三、参考资料一、W5500模块(一)W5500以太网模块介绍D-W5500 EVB以太网模块是一款基于WIZnet W5500芯片的以太网模块,且性价比高的以太网模块。W5500是一款全硬件TCP/IP嵌入式以太网控制器,为

2021-12-27 20:47:37 9690 2

原创 CLion点亮LED灯

CLion点亮LED灯一、安装环境配置(一)下载安装CLion(二)安装配置arm-none-eabi-gcc(三)下载MinGW(四)下载OpenOCD(五)完成Clion相关配置二、CLion点亮LED灯三、代码修改(一)添加LDE灯闪烁(二)编译前配置四、烧录运行(一)烧录(二)运行结果五、总结六、参考资料一、安装环境配置(一)下载安装CLionClion下载安装请参考https://ideas.zngue.com/detail/6262.htmlhttps://blog.csdn.net/

2021-12-25 22:06:45 676

原创 Altium Designer绘制STM32+W5500的电路原理图

Altium Designer绘制STM32+W5500的电路原理图一、Altium Designer(一)简介(二)优点(三)设计PCB的流程(四)快捷键使用二、创建元件库参考资料一、Altium Designer(一)简介Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA3系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数

2021-12-24 16:07:23 8960 2

原创 STM32F103C8移植RT_Thread

STM32F103C8移植RT_Thread一、准备工作(一)CubeMX 安装Nano pack(二)Keil MDK安装 RT-Thread二、CubuMX新建文件一、准备工作(一)CubeMX 安装Nano pack1.官方下载参考官方网站CubeMX 安装Nano pack参考2.具体步骤(1)获取软件包地址要获取 RT-Thread Nano 软件包,需要在 CubeMX 中添加https://www.rt-thread.org/download/cube/RealThread.R

2021-12-16 21:41:32 2346

原创 SVM算法人脸表情识别

SVM算法人脸表情识别一、算法简介(一)流程(二)原理图(三)HOG特征提取原理二、代码实现三、总结参考资料一、算法简介(一)流程1.先构建一个HOG特征提取器,到时候图片处理完之后就可以直接提取特征了2用opencv来读取数据集,但有些照片是检测不出脸的,可以直接删掉3.如果对一整张照片进行特征提取的话维数就太多了,不仅影响提取和训练速度,进行了图片截取,截取的是嘴巴那一部分的4.图片处理好了,就是提取图片的特征值了,提取了特征值之后就是筛掉检测不到脸的图片,后面就是训练和保存图像(二)

2021-12-14 19:51:57 3513 6

原创 OpenCV+Python

OpenCV+Python一、基础(一)基础函数(二)找中心(三)绘制(四)读取图片和视频(五)二值化(六)变换二、进阶(一)图片基本运算(二)滤波(三)颜色转换(四)梯度计算(五)直方图(六)掩饰(七)视频播放(八)通道分离与合并三、总结参考链接一、基础(一)基础函数1.源代码·import cv2 as cvimport numpy as npdef stackImages(scale, imgArray): """ 将多张图像压入同一个窗口显示 :

2021-12-14 00:38:54 4911 1

原创 数字图像与机器视觉基础补充(2)

一、彩色图像文件转换为灰度文件(一)使用opencv1.通过cvtColor库将其转为灰度(1)代码import cv2 as cvimg = cv.imread('C:/Users/86199/Pictures/lena/lena.jpg',1)img_1 = cv.cvtColor(img,cv.COLOR_BGR2GRAY)cv.imshow('gray',img_1)cv.imshow('colour',img)cv.waitKey(0)(2)结果2通过分离RGB三个通道得

2021-12-13 22:38:31 2712

原创 Python+Selenium动态网页的信息爬取

一、小练手一、安装配置一、小练手一、安装配置conda install selenium2.要使用selenium去调用浏览器,还需要一个驱动,不同浏览器的webdriver需要独立安装https://www.selenium.dev/documentation/en/webdriver/driver_requirements/Driver requirementshttps://www.selenium.dev/documentation/en/webdriver/driver_requi.

2021-12-12 22:10:11 2867

原创 Springboot+netty网络聊天

一、新建SringWeb项目一、新建SringWeb项目1.选择File->New-> Project2.Spring Initializr->Next3.Java Version 对于JDK选择8,点击next4.选择新建Sring Web项目

2021-12-11 01:11:28 404

原创 基于IO/NIO/Netty的Client/Server的java程序实现

一、项目环境搭建(一)创建项目(二)项目配置环境二、IO实现(一)项目结构如下(二)代码(三)运行结果参考资料一、项目环境搭建(一)创建项目1.新建JAVA项目2.项目命名路径选择3.创建完成(二)项目配置环境1.浏览器打开Maven中央仓库地址https://mvnrepository.com/搜索netty-all2.选择稳定版本这里是4.1.523.查看Gradle的依赖,并下载jar包4.下载成功依赖<!-- https://mvnrepositor.

2021-12-09 22:39:58 1091

原创 数字图像与机器视觉基础补充(1)

数字图像与机器视觉基础补充(1)一、位图原理(一)位图简介1. 位图(Bitmap):2.矢量图(Vector)(二)BMP位图文件(三)BMP文件结构1.位图文件头(BITMAPFILEHEADER)2.位图信息头(BITMAPINFOHEADER )3.颜色表4.颜色点阵数据二、图像处理(一)原图(二)16/32位位图对照1.32位彩色位图2.16位位图3.区别(三)256/16/单色位图对照1.256色位图2.16色位图3.单色位图4.区别(四)不同图片格式的压缩比三、图片处理编程(一)奇异函数分解(

2021-12-04 17:51:16 3591

原创 keil仿真和使用示波器调波形

keil仿真和使用示波器调波形一、keil仿真和使用示波器调波形(一)仿真设置(二)调试二、使用示波器查看(一)软件下载(二)认识软件三、总结四、参考资料一、keil仿真和使用示波器调波形(一)仿真设置Debug的设置,点击魔法棒进行配置一般情况下,设置后就可以调试出波形,但是报错:error 65: access violation at 0x40023800 : no 'read' permission根本原因是:map地址空间权限映射有问题。部分地址空间没有读写的权限,造成程序不能自

2021-12-03 00:43:11 16218 2

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除