FPGA下Modelsim仿真软件平台脚本搭建笔记(1)

FPGA下Modelsim仿真软件平台脚本搭建笔记(1)
quit -sim
.main clear

vlib ./lib/
vlib ./lib/work_a/
(work_a为逻辑库的根目录,其名字在仿真脚本代码里可以任取)
vlib ./lib/design_s/ (design_s为另外一个逻辑库根目录)

//接下来我们需要进行逻辑库与逻辑库根目录的映射
vmap base_space ./lib/work_a/ (将新建逻辑库 base_space映射到相应的根目录下,其中逻辑库名字可以 任取)
vmap design_space ./lib/design_s/

//接下来我们需要开始编译
vlog -work base_space ./tb_mealy.v (运用vlog将本地目录.v文件编译到base_space 的逻辑库里面去,同样由于base_space库映射到 work_a逻辑库根目录文件夹里面,所以编译的指向是在work_a的物理地址里)
vlog -work design_space ./…/design/* .v
( ./…/design/*.v 两个…是指do文件向上翻一级选择design文件进行编译 *.v的意思是对design文件下的所有.v文件进行编译,编译到design_space 的逻辑库里去)
在这里插入图片描述
//接下来我们就可以启动仿真了
** #-t指运行仿真时间的精度 **
** #-L连接库的关键字 **
vism -t ns -voptargs=+acc -L base_space -L design_space.tb_mealy.v ( -voptargs=+acc 指启动一个优化参数 后面的代码表示如果找不到文件内容,就通过-L在逻辑库里面找,同时一定要加入顶层文件.tb_mealy.v)

//最后添加波形与信号
add wave -divider(tb_mealy_1)
add wave tb_mealy/*
add wave -divider(mealy) 状态机
** #顶层/l例化的名字,其中*号是通配符,匹配所有的信号 **
**add wave tb_mealy/ mealy_inst/ ***

run 1us

好了这期就分享到这里了 我们下期见88

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值