【数字逻辑电路设计】实验:计时器/奇数骑

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shiyan4 is
	port(rst,clk,en,ld:in std_logic;
	data:in std_logic_vector(3 downto 0);
	tc:out std_logic;
	count:out std_logic_vector(3 downto 0));
end shiyan4;
architecture mtimer of shiyan4 is
	signal mdata:std_logic_vector(3 downto 0);
	begin
		counting:process(clk,en,ld,rst)
			begin
			if(rst='0') then mdata<="0000";
			elsif (clk'event and clk='1') then
				if (ld='0') then mdata<=data;
				elsif(en='1') then
					if mdata="1001" then mdata<="0000";
					else mdata<=mdata+'1';
					end if;
				end if;
			end if;
	end process counting;
	count<=mdata;
		outt:process(mdata)
			begin
			if(mdata="1001") then tc<='1';
			else tc<='0';
			end if;
		end process outt;
end mtimer;
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zombo_tany

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值