FPGA:基础入门按键控制LED灯

题目概述:
使用按键控制LED灯亮灭。
无按键按下——LED全灭
按下KEYO——从右向左的流水灯效果
按下KEY1——从左向右的流水灯效果
按下KEY2——LED闪烁
按下KEY3——LED全亮
编程:

`timescale 1ns / 1ps

module key_led(
    input sys_clk,
    input sys_rst_n,
    input [3:0] key,
    output reg [3:0] led
    );
    
 //定义0.2s计数器  0.2s/20ns=10^7
reg [23:0] cnt; 

always@(posedge sys_clk or negedge sys_rst_n)
begin
     if(!sys_rst_n)
     cnt<=0;
     else if(cnt<24'd999_9999)
     cnt<=cnt+1'b1;
     else
     cnt<=0;
end    

reg [1:0] led_control;//4个LED 00 01 10 11

//状态切换和状态赋值
 always@(posedge sys_clk or negedge sys_rst_n)
 begin
        if(!sys_rst_n)
        led_control<=4'b0;
        else if(cnt==24'd999_9999)
        led_control<=led_control+1'b1;
        else
        led_control<=led_control;
 end  
 
 
 always@(posedge sys_clk or negedge sys_rst_n)
  begin
  
       if(!sys_rst_n)
       led<=4'b0000;
       
       else if(key[0]==0)
       case(led_control)
       2'b00:led<=4'b1000;
       2'b01:led<=4'b0100;
       2'b10:led<=4'b0010;
       2'b11:led<=4'b0001;
       endcase
       
       else if(key[1]==0)
       case(led_control)
       2'b00:led<=4'b0001;
       2'b01:led<=4'b0010;
       2'b10:led<=4'b0100;
       2'b11:led<=4'b1000;
       endcase
       
       else if(key[2]==0)
       case(led_control)
       2'b00:led<=4'b0000;
       2'b01:led<=4'b1111;
       2'b10:led<=4'b0000;
       2'b11:led<=4'b1111;
       endcase
       
       else if(key[3]==0)
       led<=4'b1111;
       
       else
       led<=4'b0000;     
end   
endmodule

上机实践:

QQ视频20220804160212

  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值