FPGA开发笔记-Quartus II入门

一、基本操作(原理图输入)

1、新建工程:
New->new project(设置工程路径、顶层实体名等)

2、原理图输入:
New->block diagram->save

3、分析与综合:
Analysis&synthesis

4、波形文件-功能仿真:
New->university program VWF->node finder->list->simulation(option)(functional)

5、绑定硬件、全编译:
Assignments->device->Cyclone II(PQFP/208/Any->EP2C5Q208C8)->Compilation(全编译)

6、波形文件-时序仿真:
(结合上一步全编译给出的TimeQuest\Multicorner Datasheet\Progagation Delay中的信息,设置合适的测试信号)
New->university program VWF->node finder->list->simulation(option)(timing)

7、引脚锁定、重新全编译:
Assignments->pin planner->location(双击键入,回车确认)(指定完后直接关闭)->Compilation(重新全编译)
(完成后会在output_files文件夹中生成.sof文件)

8、下载到硬件设备:
Progammer->start


二、硬件描述语言入门(Verilog)

1、硬件描述语言输入:
(New->new project) New->Verilog HDL File(一般命名为元件名)

2、测试文件的编写:同上(建议命名时加前缀或后缀以与元件相区分开来,例如"TB_<元件名>")

3、Quartus&Modelsim联合仿真:
首先确定Modelsim路径是否正确:开始菜单栏搜索Modelsim,复制文件完整路径,进入Quartus后Tools->options->General->EDA tool options->在相应栏目粘贴->OK

Assignments->settings->simulation->
(1)设置如下:
Tool name->Modelsim_Altera
勾选Run gate-level...
Format for output netlist->Verilog HDL
Time scale->1ps
(2)添加测试文件:
勾选Compile test bench
点击test bench->New->Test bench name(与编写的测试文件名相同)->File name "..."找到文件->Add->OK
(3)设置功能仿真:
More EDA Netlist Writer Settings...->Generate netlist for functional simulation only设置为On->OK
进行全编译,而后会自动进入仿真界面
(4)设置时序仿真:
(与功能仿真唯一的不同就是把On改为Off)
More EDA Netlist Writer Settings...->Generate netlist for functional simulation only设置为Off->OK
进行全编译,而后会自动进入仿真界面

注:记得设置top entity!(快捷键ctrl+shift+J)


三、其他操作

1、将当前文件封装成元件模块:
File->Create/Update->Create Symbol Files for Current File

2、生成.qar文件(归档):
Project->Archive Project

3、打开一个已有的工程:
点击相应的.qpf文件可以直接打开

4、状态机模板插入(建议采用多进程):
(New->new project->)New->Verilog HDL File,使用模板:空白处右键->Insert Template->Verilog HDL->Full Designs->State Machines

5、查看状态机或电路实现:
Tools->Netlist Viewers->RTL Viewer(电路实现)
Tools->Netlist Viewers->State Machine Viewer(状态机的状态转换图)

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值