FPGA学习verilog实现P = (A+B)*(C-D)

层次化设计

设计目标:实现P = (A+B)*(C-D)

框图

框图

代码

顶层模块

module TEST1_TOP (
    input wire [7:0]A,
    input wire [7:0]B,
    input wire [7:0]C,
    input wire [7:0]D,
    output wire [17:0]P
);

wire [8:0]add_result;
wire [8:0]sub_result;  //声明中间变量

ADD ADD_1(
    .A(A),
    .B(B),
    .add_result(add_result)
);

SUB SUB_1(
    .A(C),
    .B(D),
    .sub_result(sub_result)
);

MULT MULT_1(
    .E(add_result),
    .F(sub_result),
    .P(P)
);
endmodule

ADD模块

module ADD (
    input wire [7:0]A,
    input wire [7:0]B,

    output wire [8:0] add_result
);
    
assign add_result = A + B;

endmodule

SUB模块

module SUB (
    input wire [7:0]A,
    input wire [7:0]B,

    output wire [8:0] sub_result
);
    
assign sub_result = A - B;

endmodule

MULT模块

module MULT (
    input wire [8:0]E,
    input wire [8:0]F,

    output wire [17:0] P
);
    
assign P = E * F;

endmodule

tb文件

`timescale 1ns/1ps

module TEST1_TOP_tb ();
    
reg [7:0]A;
reg [7:0]B;
reg [7:0]C;
reg [7:0]D;
wire [17:0]P;

initial begin
    A = 8'd0;
    B = 8'd0;
    C = 8'd0;
    D = 8'd0;
end

always #10 A = {$random} % 256;
always #10 B = {$random} % 256;
always #10 C = {$random} % 256;
always #10 D = {$random} % 256;

TEST1_TOP TEST1_TOP_1(
    .A(A),
    .B(B),
    .C(C),
    .D(D),
    .P(P)
);

endmodule

仿真结果

结果目前不考虑无法计算的项目,如12/0,仅作设计流程学习。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值