FPGA学习verilog编写D触发器

时序逻辑学习

设计目标:实现D触发器

框图

请添加图片描述

代码

D_FF.v代码

module D_FF (
    input wire clk,
    input wire rst_n,
    input wire D,
    output reg  Q,
    output wire Q_NOT_GATE
);

always @(posedge clk or negedge rst_n) begin
    if(~rst_n)
            Q <= 1'b0;
        else
            Q <= D;  
end
assign Q_NOT_GATE = ~Q;

endmodule

tb文件

`timescale 1ns/1ps

module D_FF_tb ();
    
reg clk;
reg rst_n;
reg D;
wire Q;
wire Q_NOT_GATE;

initial begin
    clk = 1'b0;
    rst_n = 1'b0;
    D = 1'b0;
    #5
    rst_n = 1'b1;
end


//50Mhz  20ns
always #10 clk = ~clk;
always #20.1 D = {$random} % 2;

D_FF D_FF_1(
    .clk(clk),
    .rst_n(rst_n),
    .D(D),
    .Q(Q),
    .Q_NOT_GATE(Q_NOT_GATE)
);

endmodule

仿真结果

仿真

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值