verilog 移位运算与乘法编码错误

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//
reg  [1:0]  cnt;
wire    add_cnt;
wire    end_cnt;
reg  [7:0]d_reg;

always @(posedge clk or negedge rst)begin
    if(!rst)begin
        cnt <= 0;
    end
    else if(add_cnt)begin
        if(end_cnt)
            cnt <= 0;
        else
            cnt <= cnt + 1;
    end
end

assign add_cnt = 1;
assign end_cnt = add_cnt && cnt==4-1;
    
always @(posedge clk or negedge rst)begin
    if(!rst)
        out <= 0;
        d_reg <= 0;
    else begin
        case( cnt )
            0:
                out <= d;
                d_reg <= d;
            1:
                out <= d_reg + {d_reg, 1'b0};
            2:
                out <= d_reg + {d_reg, 1'b0} + {d_reg, 2'b0};
            3:
                out <= {d_reg, 3'b0};
            default: 
                out <= out;
        endcase
    end
end

always @(posedge clk or negedge rst)begin
    if(!rst)begin
        input_grant <= 0;
    end
    else begin
        if(add_cnt &&cnt == 0)
            input_grant <= 1;
        else if(add_cnt && cnt ==1)
            input_grant <= 0;
    end
end
//*************code***********//
endmodule

 

 

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值