自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(26)
  • 收藏
  • 关注

原创 2022校招 小米集团 数字芯片设计(持续更新...)

2021-09-12 10:14:15 1847 2

原创 2022校招 联芸科技-数字后端设计工程师A卷 (持续更新...)

写在最前面答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论。答案解析写的相对言简意赅,想详细了解可以看文章末尾的参考链接,谢谢~ 文章目录问答1. (5分) Please list the physical design basic flow.2. (7分) Please explain the following terms: NDR/SOC/LVS/ICG/PVT/DRC/NLDM.3. (5分) When we say 0.13um/0.18um/28nm,what does it mea

2021-08-21 20:31:06 1033

原创 IC基础知识——门电路

    能够用来构建任何组合数字逻辑电路的,只有3种基本逻辑函数,即“与”(AND)、“或”(OR)、“非”(NOT)。开关代数开关代数是分析和综合所有类型逻辑电路的基础数学工具。开关代数的5对公理:如果X ≠ 1,则X = 0;     如果X ≠ 0,则X = 1;如果X = 0,则X’ = 1;    如果X = 1,则X’ = 0;0 · 0 = 0                1 + 1 = 11 · 1 = 1                0 + 0 = 00 · 1 =

2021-08-21 12:09:27 497

原创 2022校招 泰凌微电子 2022数字后端工程师笔试卷 (持续更新...)

写在最前面答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论 文章目录不定项选择题 (60分,4分/题)1. 在时钟树综合后,clock_uncertainty设定值为什么要减小?( )2. ( )3. ( )4. ( )5. ( )6. ( )7. ( )8. ( )9. ( )10. ( )11. ( )12. ( )13. ( )14. ( )15. ( )问答题 (40分,8分/题)1.2.3.4.5.不定项选择题 (60分,4分/题)1. 在时钟树综合后,clock_uncertai

2021-08-19 20:09:47 1738

原创 2022校招 复旦微电子 FPGA开发笔试卷(持续更新...)

写在最前面答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论 文章目录【客观题 | 20道单选题】1. 通用的高级程序设计语言一般都会提供描述数据、运算、控制和数据传输的语言成分,其中控制包括顺序、( )和循环结构。2. ( )3. ( )4. ( )5. ( )6. ( )7. ( )8. ( )9. ( )10. ( )11. ( )12. ( )13. ( )14. ( )15. ( )16. ( )17. ( )18. ( )19. ( )20. ( )【主观题 | 8道问答题】【客观题

2021-08-19 20:01:35 1152 1

原创 2022校招 大疆创新-数字芯片开发工程师B卷 (持续更新...)

写在最前面答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论 文章目录单选题 (45分,5分/题)1. 下列关于mbist测试描述正确的一个是( )2. 下列关于芯片中信号串扰描述错误的一个是( )3. 关于异步复位,以下说法正确的是( )4. 1个16K x 8位的存储器,其地址线和数据线总和是( )5. 下列关于多bit数据跨时钟域的处理思路,错误的有( )6. ( )7. ( )8. ( )9. ( )单选题 (45分,5分/题)1. 下列关于mbist测试描述正确的一个是( ) A

2021-08-15 10:12:35 2672

原创 2022校招 大疆创新-数字芯片开发工程师A卷 (持续更新...)

写在最前面答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论 文章目录5. 以下是对Cache-主存-辅存三级存储系统中各级存储器的作用,速度,容量的描述,其中完成正确的是()5. 以下是对Cache-主存-辅存三级存储系统中各级存储器的作用,速度,容量的描述,其中完成正确的是() A. 主存用于存放CPU正在执行的程序,速度慢,容量极大 B. Cache用于存放CPU当前访问频繁的程序和数据,速度快,容量小 C. 辅存用于存放需要联机保存但暂不执行的程序和数据,速度快,容量极大 D.

2021-08-11 15:33:00 1886

原创 2022校招 加特兰微电子-数字电路设计工程师 (持续更新...)

文章目录【问答 | 5分】1. What is the difference between blocking and nonblocking assignments?2. Explain setup failture and hole failture to a flip-flop.3. What is the difference between clock skew and clock jitter?4. What is metastability?How to avoid metastability

2021-07-27 00:10:35 1024 3

原创 2022校招 乐鑫科技提前批-数字IC类(持续更新...)

文章目录【单选 |3分】1. 下面对于verilog中的always块描述错误的是()2. 模拟信号转换成数字信号需要经历( )这四个过程3. 数字下采样的一般处理流程是( ),数字上采样的一般处理流程是( )4. 实现以下( )CMOS电路基本单元需要的MOSFET个数最少5. SystemVerilog中,多态的相关描述正确的是【单选 |3分】1. 下面对于verilog中的always块描述错误的是() always块中的被赋值语句必须定义为“reg”类型 不同的敏感事件列表会产生不同的电路

2021-07-25 15:04:25 949

原创 2022校招——大华股份(持续更新...)

文章目录2. 十进制数46.75对应的二进制表达式为()3. 下面关于CPLD描述不正确的是()2. 十进制数46.75对应的二进制表达式为() 101110.01 101101.11 101110.11 101110.10答案是101110.11解析    整数部分:十进制46对应二进制101110    小数部分:十进制0.75对应二进制0.11(2-1+2-2=1/2+1/4)3. 下面关于CPLD描述不正确的是() CPLD组合逻辑资源丰富; CPLD互连线延迟相等;

2021-07-17 16:45:41 1108

原创 2022校招1-数字IC工程师——联发科(持续更新...)

简答题 (15分) 用Verilog实现一个10010序列检测器,当检测到10010序列时(包括重叠部分的情况)时,序列检测器输出1,否则输出0,请画出状态转移框图并写出Verilog代码。解析:    我的用3段式状态机写的;第3个always我就直接用assign搞定了,效果都是一样的;下面是我用OneNote做的一点笔记,仅供大家参考:状态转移图:Verilog代码:`timescale 1ns / 1psmodule sequential_detector_10010( .

2021-07-16 20:42:16 1934 1

原创 Verilog计数器

新学了一种计数器,只用考虑加一条件和结束条件;cnt0.v`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2021/06/12 17:25:52// Design Name: // Module Name: cnt0// Project Name:

2021-06-12 17:58:08 1024

原创 KC705_PLL——差分时钟分频/倍频

当主时钟不满足我们要求时,我们就可以用vivado中的PLL ip核进行分频或者倍频;pll.v`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2021/06/12 15:18:31// Design Name: // Module Name: pl

2021-06-12 16:11:34 1657 1

原创 FPGA_FSM_3段式状态机

实现代码:fsm_coin_test1.v`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2021/06/11 12:06:12// Design Name: // Module Name: fsm_coin_test1// Project Na.

2021-06-11 17:06:41 155

原创 kc705_流水灯+仿真

flow_led.v`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2021/06/06 11:08:25// Design Name: // Module Name: flow_led// Project Name: // Target Devi

2021-06-07 22:59:14 329

原创 KC705 8个流水灯

代码:`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 2021/06/06 11:08:25// Design Name: // Module Name: flow_led// Project Name: // Target Devices: /

2021-06-06 15:54:23 356

原创 FPGA_KC705_流水灯

FPGA_KC705_流水灯实验环境编译软件及版本:vivado2018.2硬件语言:Verilog硬件开发板:Xilinx Kintex—7 KC705前言  我胡汉三又回来啦,Hhh  KC705这板子真的跟ZYNQ的不一样,太多坑了,必须填!正文  与软件编程里的"Hello World!"一样,硬件编程里的流水灯是非常经典的入门实验,因为它能让你初步开始掌握硬件里的时钟,时钟是非常非常非常重要的,以后就慢慢知道了。  本来以为之前有用过ZYNQ板子的经验,能很快上手,结果发现大

2021-03-12 00:00:26 2324 2

原创 verilog_移位寄存器_仿真(程序逐句解释)

前言  之前老是想着学的快点,就直接编译了程序就下载在开发板上跑,后来发现这样不行,因为如果程序有问题,验证和纠错的时间成本太高了(毕竟vivado跑一次花的时间很长),反过来学习仿真,下面是一点心得和体会。开发环境编译软件及版本:vivado 2019.2编译语言:verilog  网上随便找了一个简单程序和仿真,先实现复现,再谈其他。下面我将先给出代码和仿真截图,再说具体的东西。移位寄存器程序代码:`timescale 1ns / 1ps/////////////////////////

2020-10-19 16:00:45 9576 1

原创 FPGA_VIVADO_verilog_八个流水灯_1

FPGA_VIVADO_verilog_八个流水灯_1实验环境前言正文流水灯程序代码管脚约束程序代码实验结果参考文献实验环境编译软件及版本:vivado 2019.2编译语言:verilog硬件开发板:MiZ702N前言最近开始学习FPGA,开始记录自己踩的坑,不然会忘,废话不多说 ,下面进入正题。正文我做了两种流水灯的实现,一种是全亮,逐个熄灭其中一个;另一种是全灭,逐个点亮;下文记录的是全亮,逐个熄灭其中一个这种。流水灯程序代码`timescale 1ns / 1ps // //

2020-10-15 20:55:41 11251 2

原创 数据结构笔记整理——01质数的和与积(openjudge)——C++

题目描述:结果展示:这是参考大佬的博客写的:https://blog.csdn.net/u011815404/article/details/79490396不过我觉得之前的代码有点问题,就修改了一下:因为按之前的代码,程序会报错:(大佬已经及时修正了)思路:    这个题其实是承接我上一篇博客《找出1000以内的质数》,上一篇写完以后,我就上百练看看有没有相关题,结果一搜,还真有...

2020-01-10 08:47:15 1815

原创 数据结构笔记整理——float和double使用

先介绍一下基础概念:有效数字: 在数学中,有效数字是指在一个数中,从该数的第一个非零数字起,直到末尾数字止的数字称为有效数字,如0.339的有效数字有三个,分别是3,3,9。float: 单精度浮点型,表示十进制7位或8位有效数字;double: 双精度浮点型,表示十进制15位或16位有效数字;(我的机器(系统windows 10;编译器:codeblocks 17.12 )上是float...

2020-01-09 15:03:10 2432

原创 数据结构笔记整理——找出1000以内的质数(素数),显示个数和每个找到的素数。

题目描述:    编写程序,找出1000以内的质数(素数),显示个数和每个找到的素数。思路:    首先确定质数的定义:    质数是指在大于1的自然数中,除了1和它本身以外不再有其他因数的自然数。根据质数的定义,我们可以想到用两个for循环,外循环遍历2——1000的数,内循环遍历从2到当前外循环遍历的数,即假如外循环到4,内循环就遍历2,3,4;如果余数为0,证明这个数就不是质数,就循...

2020-01-08 22:16:08 3606 1

原创 算法课作业整理——02汉诺塔问题(openjudge)——C++

题目描述https://blog.csdn.net/geekwangminli/article/details/7981570https://www.cnblogs.com/yanlingyin/archive/2011/11/14/2247594.html(两篇博客都写的非常棒,第一篇博客的博主写的很详细,讲的老和尚的故事;第二篇博客的博主用了另外一种理解思路,都建议去学习一下,不...

2020-01-08 14:38:31 196

原创 算法课作业整理——01石头剪刀布(openjudge)——C++

题目描述:结果展示:思路:    我尽量讲的详细一点,因为网上我看到很多前辈写的代码,直接就贴出来,但不会说为什么这么写,我觉得这样不太友好,不利于大家的学习。    题目其实很好理解,就是讲两个人玩石头剪刀布游戏,但他们的出拳是有规律的,我们需要设计程序判断在进行了N轮后的最终结果是谁赢了或者平了。    解决这个问题的核心是怎么把每个人的出拳规律循环到满足进行的N轮比赛中,再进行...

2020-01-07 15:22:43 2019

原创 字节跳动2019春招研发第一次在线笔试-A卷

字节跳动2019春招研发第一次在线笔试-A卷题目描述Z国的货币系统包含面值1元、4元、16元、64元共计4种硬币。以及面值1024元的纸币。现在小Y使用1024元的纸币购买了一件价值为N(0<N<=1024)的商品,请问最少他会收到多少硬币?输入描述:一行,包含一个数N。输出描述:一行,包含一个数,表示最少收到的硬币数。举例输入200;输出17(包含12个64元硬币,3个...

2019-03-18 11:57:29 1179

原创 Deepin 15.9.2下安装Opencv 4.0.1

**Deepin 15.9.2下安装Opencv 4.0.1**前言之前做毕业设计,一直用的版本是Opencv 3.4.1,但做到了图像识别这一部分,由于模板匹配不太好用,就打算用Opencv调用tensorflow预训练模型,跟着网上教程走,但老是报错,而且问题解决不了,抱着试试看的想法,拿到同学Opencv4 .0.0下,pb文件运行良好;无奈,转投最新版。正文一.下载Opencv...

2019-03-15 16:19:07 2625 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除