Verilog 头歌习题 256选一选择器

module mux256(

    input   [255 : 0] D,

    input   [  7 : 0] sel,

    output            Y

);

    // 请在下面添加代码,完成256选1多路选择器的建模

    // 代码量预计1行

    /****** Begin ******/

reg Y;

integer i;

always @(*) begin

for(i=0;i<=255;i=i+1)

    if(sel==i) Y=D[i];

end


这里sel是隐式转换为实数类型与interger进行比较吗?

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值