Verilog数字系统设计教程第三部分练习五

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

用always块实现较复杂的组合逻辑电路。

一、简单的指令译码电路

1.1 程序语句:

`define plus 3'd0
`define minus 3'd1
`define band 3'd2
`define bor 3'd3
`define unegate 3'd4

module alu(a, b, opcode, out);
input [7:0]a, b;
input [2:0]opcode;
output [7:0]out;
reg [7:0]out;

always @(opcode or a or b)
	begin
		case(opcode)
			`plus:		out = a + b;
			`minus:		out = a - b;
			`band:		out = a & b;
			`bor:		out = a | b;
			`unegate:	out = ~a;
			default:	ou
  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值