ModelSim 仿真verilog编译遇到的问题

 1、编译报错:Illegal digit for specified base in numeric constant.(数值常量中指定基数的非法数字)

排查问题:3‘d4 写成了 3’b4

2、编译警告:Warning (10230): Verilog HDL assignment warning at top_module.v(56): truncated value with size 32 to match size of target (1) (没有指定位宽,系统会自动分配32位)

比如在赋值时:

assign aaah = (state == DIE) ? 0 : (state == DOWN_L) | (state == DOWN_R);

 数字 0 没有指定位宽,会默认 32 位,改正 1'b0 就可以了

assign aaah = (state == DIE) ? 1'b0 : (state == DOWN_L) | (state == DOWN_R);

  • 17
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值