按键扫描——不用delay函数的消抖的方法

按键扫描——不用delay函数的消抖的方法

优点

不用delay函数来进行按键的消抖有利于提高单片机的执行效率。

#include "stc15f2k60s2.h"
/*

Debug 总结:

*/


unsigned char code SMG_duanma[] = {0xc0,0xf9,0xa4,0xb0,
                                   0x99,0x92,0x82,0xf8,
                                   0x80,0x90,0x88,0x83,
                                   0xc6,0xa1,0x86,0x8e,
                                   0xbf,0x7f
                                  }; 

unsigned char Segbuff[] = {0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff};


void SelectHC573(unsigned char channel)
{
	switch(channel)
	{
		case 0 : P2 = (P2 & 0X1F) | 0X00;break;
		case 4 : P2 = (P2 & 0X1F) | 0X80;break;
		case 5 : P2 = (P2 & 0X1F) | 0Xa0;break;
		case 6 : P2 = (P2 & 0X1F) | 0Xc0;break;
		case 7 : P2 = (P2 & 0X1F) | 0Xe0;break;
	}
}

void InitSystem()
{
	SelectHC573(5);
	P0 = 0x00;
	SelectHC573(0);
	P0 = 0xFF;
}

void DispalySMG_Bit(unsigned char pos, unsigned char dat)
{
	
	
/**********消隐效果最佳******************/	
	SelectHC573(7);
	P0 = 0xff;//关闭所有段选
	SelectHC573(6);
	P0 = 0x01 << pos;	
	SelectHC573(0);
	P0 = 0xff;	
	SelectHC573(7);
	P0 = dat;	
//不要加SelectHC573(0);
/**********消隐效果最佳******************/	 	
}

void Timer0_Init()
{
	TMOD = 0X00;
	TH0 = (65536 - 1000) / 256;
	TL0 = (65536 - 1000) % 256;
	EA = 1;
	ET0 = 1;
	TR0 = 1;
}
unsigned char count = 0;
bit Keysta = 1;//经过消抖之后的键值
void KeyScan()
{
	static bit backup = 1;//储存上一次的键值
	if(Keysta != backup)//松手检测
	{
		
//		if(backup  == 0)//松开按键后动作
//		{
//			count++;
//			if(count == 10)
//				count = 0;
//		
//		}
		if(backup  == 1)//按键按下立即动作
		{
			count++;
			if(count == 10)
				count = 0;
		
		}
		backup = Keysta;
	}
}


void Display()
{
	  Segbuff[0] =  0xff;
	  Segbuff[1] =  0xff;
	  Segbuff[2] =  0xff;
		
	  Segbuff[3] =  SMG_duanma[16];
	  Segbuff[4] =  SMG_duanma[count];
		Segbuff[5] =  SMG_duanma[16];
	
		Segbuff[6] =  0xff;
	  Segbuff[7] =  0xff;
}

void main()
{
	InitSystem();
	Timer0_Init();
	while(1)
	{
	
			KeyScan();
		  Display();
		
    
  }
}

void Timer0_Service() interrupt 1
{
	static unsigned int count_1ms = 0;
  static unsigned char pos = 0;
	static unsigned char Keybuf = 0xff;
	count_1ms++;
	//**********数码管刷新*************
  switch(pos)
	{
		case 0 : DispalySMG_Bit(pos, Segbuff[0]);pos++;break;
		case 1 : DispalySMG_Bit(pos, Segbuff[1]);pos++;break;
		case 2 : DispalySMG_Bit(pos, Segbuff[2]);pos++;break;
		case 3 : DispalySMG_Bit(pos, Segbuff[3]);pos++;break;
		case 4 : DispalySMG_Bit(pos, Segbuff[4]);pos++;break;
		case 5 : DispalySMG_Bit(pos, Segbuff[5]);pos++;break;
		case 6 : DispalySMG_Bit(pos, Segbuff[6]);pos++;break;
		case 7 : DispalySMG_Bit(pos, Segbuff[7]);pos = 0;break;
	}
	//**********按键消抖*************
	if(count_1ms == 2)//最好每隔2ms采集一次按键输入IO口的数据,至于为什么,详见讲解部分
	{
		count_1ms = 0;
		Keybuf = (Keybuf << 1) | P33;
		if(Keybuf == 0x00)
		{Keysta = 0;}
		else if(Keybuf == 0xff)
		{Keysta = 1;}
		else{}
	}
	//*******************************

}

讲解

在这里插入图片描述
1.若采集频率过快(假设每隔1ms采集一次按键输入IO口的数据)
按键每次被按下(或者抬起)之后,会出现持续大约5-10ms的抖动,如上图所示。如果每隔1ms采集一次按键输入IO口的数据,那么在这段波动期间就能采集4-11次电平数据。由于在抖动期间,按键输入IO口的电平既可能是低电平也可能是高电平,所以完全有可能出现采集到>=8次低电平的情况。又因为Keybuf是8位的,所以只要连续采集到8次低电平,程序就会认为按键被按下了。这样就会导致程序把按键的抖动也看作是“按下了一次”,造成消抖失败
2.若采集频率过慢(假设每隔3ms采集一次按键输入IO口的数据)
如果每隔3ms采集一次按键输入IO口的数据,且假设在波动期间所采集的电平数据全是1。因为Keybuf是8位的,所以必须连续采集到8次低电平,程序才会认为按键被按下了。若此次按键的稳定时间仅为20ms左右,那么在此期间最多只能采集到7次低电平,这样就会导致程序误认为按键没有被按下,产生“按键效果不灵敏”的 bug。

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Verilog中按键消抖的原理和方法是为了解决按键在按下或释放过程中可能会产生的抖动现象,保证按键信号的稳定性。 一种常见的按键消抖方法是通过软件延时来实现。当检测到按键按下时,首先进行一个短暂的延时,然后再次读取按键状态,如果仍然是按下状态,则确认为有效按下。同样的,在按键释放时也可以采用类似的方法。 下面是一个简单的Verilog代码示例,演示了如何使用软件延时实现按键消抖: ```verilog module debounce ( input wire button, output wire debounced_button ); reg [31:0] delay_counter; reg button_state, debounced_state; always @(posedge button) begin delay_counter <= 32'd100; // 设置延时计数器的初始值 button_state <= button; end always @(posedge clk) begin if (delay_counter > 0) begin delay_counter <= delay_counter - 1; // 延时计数器递减 debounced_state <= button_state; // 记录当前按键状态 end else begin debounced_state <= button; // 延时结束后,更新最终的按键状态 end end assign debounced_button = debounced_state; endmodule ``` 在上面的代码中,我们使用了一个延时计数器来模拟延时。在按键按下时,将延时计数器初始化为一个适当的值(例如100),然后在每个时钟周期递减计数器的值。当计数器归零时,将更新最终的按键状态。 请注意,上述代码中的 `clk` 信号是一个时钟信号,需要根据实际情况进行修改。此外,代码还使用了一个 `button_state` 寄存器来保存按键状态,以便在延时过程中保持稳定。 这只是一种基本的按键消抖方法,实际应用中可能会有更复杂的需求。但是通过软件延时的方式可以较为简单地实现按键消抖效果。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ღ 金龍戲水 ღ

你的鼓励将是我创作的最大动力!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值