UVM验证:uvm_do_on_with函数随机化约束问题及解决方案

比如:为了增强代码的可读性,我们通常会将顶层和底层的seq变量定义为相同名称,在顶层top_vseq中启动底层sub_seq时,'uvm_do_on_with(sub_seq,{a == a});这个约束是无法生效的。
1、约束不生效的原因
从编译器的角度出发,它不知道两个变量a有什么不同,并且会把它做为sub_seq作用域中的两个相同变量,这就导致约束失效。
2、解决方案
将顶层变量和底层变量定义成不一样的名称,比如:顶层变量定义为a,底层变量定义为rand_a,然后执行’uvm_do_on_with(sub_seq,{rand_a == a});这样约束就会生效。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值