system verilog验证(二)之约束

随机化约束,顾名思义就是让被随机的变量按照约束的要求得到期望的数值。如下:定义了一个随机化类rand_class,

class rand_class;
        rand bit[7:0]a;
        randc bit[15:0]b;
 endclass
 rand_class rand_class_r;

不加任何约束的话,执行rand_class_r.randomize()之后,a的值范围为0-255,b的值范围为0-65535。如果我们想要得到a的值全部小于100,有下面几种方法:
方法一:加with{}

执行 rand_class_r.randomize() with {a < 100;}

方法二:加约束块

class rand_class;
         rand bit[7:0]a;
         randc bit[15:0]b;               
         constraint a_c {
                          a < 100;}
endclass
执行 rand_class_r.randomize();
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值