uvm的随机化

uvm的随机化实现

在my_case0类里。
1. 利用宏'uvm_do实现随机化;在my_case0的task body代码段里。
2. 伪随机数,种子默认是1;每一个对象,其伪随机数的算法是独立的;但种子是1.
3. 如果想设置不同的种子,参考网上:
如何在SystemVerilog中手动设置随机化seed - jyaray - 博客园
http://www.cnblogs.com/jyaray/archive/2012/02/06/2340606.html

  • 4
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值