原理图以及vhdl设计一位全加器


全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。

全加器原理

全加器真值

a,b为输入,cin为进位输入,sum为输出和,cout为输出进位。
在这里插入图片描述

输出表达式

sum = a xor b xor cin
cout =(a and b) or (b and cin) or (a and cin)

原理图设计法

创建项目文件创建项目文件
添加原理图设计文件选择原理图
在原理图设计板块中找到对应的元器件,添加对应的IO口
在这里插入图片描述
放置好所有的元器件以及IO后,将鼠标移到对应模块连接处,将电路图连接起来。然后保存编译。

完成原理图绘制
创建波形文件
添加波形文件,然后鼠标右击进入选择信号,点击>>添加。
添加信号
在这里插入图片描述
在这里插入图片描述
设置初始激励信号,可以选择0,1 或者后面的定时器与计数器,可以添加不同的值,去验证项目电路是否正确。
在这里插入图片描述
在这里插入图片描述
生成对应的网表后点击功能仿真,验证电路功能是否正确。
在这里插入图片描述 在这里插入图片描述

VHDL设计法

点击左边的文件添加VHDL文件,将代码敲入后,鼠标右键点击代码文件设置为定成==顶层文件,不然会报错。
在这里插入图片描述

代码如下:

library ieee;--库文件引用
use ieee.std_logic_1164.all;

entity addr is
port(a,b,cin : in std_logic;--端口配置
	sum,cout : out std_logic);
end entity addr;

architecture rtl of addr is--结构体配置
begin

sum <=a xor b xor cin;
cout <=(a and b) or (a and cin) or (b and cin);

end architecture rtl;
  • 12
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PersiaF

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值