通过quartus启动modelsim-altera仿真时出现nativelink error-couldn‘t execute invalid argument的问题

问题截图

 目前在百度中还没有人回答过这个问题,只能自己动手了.经排查,是因为在modelsim属性中曾将其设置为以管理员身份运行

 

 将"以管理员身份运行此程序"取消即可.

亲测有效

 

  • 32
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 19
    评论
评论 19
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值