bandgap电路设计与仿真

1.电路图

        带隙基准电路主要由具有负温度特性的三极管、以电流镜做负载的5管OTA和启动电路构成,工艺采用的是0.18um工艺。(具体电路也可参考拉扎维模拟coms集成电路第十一章带隙基准)。

2.直流仿真

        扫描温度-40℃~105℃,进行直流DC仿真,可见温度在25℃时候是温度变化最小的位置,即抛物线顶点。(抛物线顶点是可以通过调节电阻值来移动抛物线,使得抛物线顶点在25℃左右)

        温度系数:4.49 ppm

        温度系数=((最高温度-最低温度)/两点中间电压/总的温度范围)×10^{6}  ppm

 3.频率响应参数分析

        3.1 添加analoglib库中的iprobe在放大器的输出端用于频率仿真,可以同时仿真出正反馈回路与负反馈回路。

        相位裕度:77.6

        3.2 通过密勒补偿可以改善相位裕度,设计中在运算放大器输出端添加密勒补偿电容,改善相位裕度。

  

         3.3 环路增益和相位裕度(关乎稳定性,至少大于45度)

 4.噪声分析

        4.1 低频噪声大

         4.2  1khz(低频)噪声来源主要是M5、M6的1/f噪声,M5、M6就是输入管的尾电流源,对整个电路的噪声贡献最大,可以加大沟道长度L,来减少1/f噪声。增大电流也可以减少噪声。4.瞬态分析

        在VDD上电到3V后,bandgap电压(紫色)稳定在1.2V。

4.交流仿真分析

        电源抑制比PSRR

  • 11
    点赞
  • 76
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
### 回答1: Bandgap仿真是一种在Virtuoso设计环境中,用于模拟电路中稳定参考电压的方法。参考电压在电路设计中扮演着重要的角色,特别是在模拟电路中,因为不同元件的特性随温度、功耗等参数的变化而变化,因此需要一个稳定的参考电压来确保设计的精度和可靠性。 在Virtuoso设计环境中,通过使用电路仿真工具,设计师可以生成各种不同类型的基础元件(如晶体管、二极管、电阻等)的模型,并将它们组合成一个完整的电路。在设计一个带有bandgap参考电压的电路时,需要考虑到一些关键参数,如电流源、温度传感器、电阻等。 使用Virtuoso仿真工具可以对电路进行稳压性能的测试,比如对bandgap电路的输出电压进行分析。通过仿真可以看到输出电压与输入电压和温度的关系,也可以评估电路的精度和稳定性。在电路仿真中,可以通过调整电路参数和元件型号,来优化电路的稳定性和效率。 总之,Virtuoso工具具有强大的电路仿真功能,特别适用于设计带有稳压电路的模拟电路。bandgap仿真可以帮助设计师确保电路在不同条件下具备稳定的参考电压,保证电路的性能和可靠性。 ### 回答2: Bandgap仿真Virtuoso是一种电路模拟器,可以用来模拟电路的带隙调节电路。带隙调节电路是一种广泛应用的电路,用于产生稳定、高精度、高温稳定性的参考电压。带隙调节电路的基本原理是利用不同的半导体材料带隙差异来产生一个固定的电压。 Virtuoso是一种非常强大的仿真工具,既可以模拟模拟电路,也可以模拟数字电路。它可以帮助工程师在设计电路时评估不同的电路方案,并找到最佳的电路方案。Virtuoso是一个完整的仿真环境,包括设计、仿真、布局、封装和验证。 使用Virtuoso进行Bandgap仿真时,需要先设计带隙调节电路的原理图,并将其导入Virtuoso中进行仿真。在仿真过程中,可以改变电路中的参数,如温度、电源电压等,评估电路在不同条件下的性能。此外,虚拟示波器和信号发生器等工具也可以用来检查电路的输出。 总之,Bandgap仿真Virtuoso是一种非常有用的工具,可以帮助电路设计师模拟和评估带隙调节电路的性能,找到最佳的电路方案。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值