关于数电实验的modelsim

一,实验原理
利用modelsim仿真软件,实现逻辑功能的仿真与测试。
首先在对一个设计快进行仿真时,需要准备一个测试模块。该模块大,只有三部分组成。第一部分实力引用被测试的模块。第二部分是输入信号附各种不同的组合值,第三部分指定测试结果的显示格式,并输出文件名。

二,选择文件名mux2to1_df.v。里面文件内容是,module mux2tol_df (

input D0,D1,S,
output wire Y
);assign Y = (~S & D0) |(S & D1);
endmoduletest_mux2to1_df.v

`timescale 1ns/1nsmodule test_mux2tol_df;reg PD0,PD1,PS;wire PY;mux2tol_df  t_mux (PD0,PD1,PS,PY);initial beginPS=0; PD1=0; PD0=0;#5     PS=0; PD1=0; PD0=1;#5     PS=0; PD1=1; PD0=0;#5     PS=0; PD1=1; PD0=1;#5     PS=1; PD1=0; PD0=0;#5     PS=1; PD1=0; PD0=1;#5     PS=1; PD1=1; PD0=0;#5     PS=1; PD1=1; PD0=1;#5     PS=0; PD1=0; PD0=0;#5    $stop;

 三,运行仿真

(可以先建立一个文件)第一部需要点击File——change Directory。然后选择你想选择的文件。然后File——new——source  verilog。然后输入代码,重复File——new——source  verilog。再次写一个代码(该实验有有两个代码)。File——new  Library。然后点击所创建的文件的加号,然后运行test

然后双击输入代码。运行并保存。

结果如下
a84f5cc4e8e04644a5121722d541e783.png
代码出现数字



 这表示我们弄成了。有些没有打开这个窗口ware,则需要我们去视图里面打开。
19b843d932074581811909d429ff0d2c.png


实验总结:实验过程出现很多问题值得注意:写的代码有错误,操作步骤不熟悉。写完代码后应该运行一下看下是否有错误,不熟悉的话多操作几遍。

 视频链接:https://v.youku.com/v_show/id_XNTkxNjYzODI1Mg==.html?x&sharefrom=android&sharekey=52193ee8a4068756af979842a9931a366

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值