Verilog学习笔记(5)Modelsim仿真_秒计数器

1. 时序逻辑电路代码和仿真

1.1 秒计数器

代码:

//秒计数器

module s_counter( clk , res , s_num);

input         clk;
input         res;
output[3:0]   s_num;

parameter     frequncy_clk=24;//24;

reg[24:0]      con_t;//秒脉冲分频计数器
reg            s_pulse;//秒脉冲尖
reg[3:0]       s_num;//想在awalys里面赋值必须是定义reg
always@(posedge clk or negedge res)
if(~res) begin
    con_t<=0;s_pulse<=0;s_num<=0;
end
else begin
    //if(con_t==frequncy_clk*1000000-1) begin//24M时钟频率
      if(con_t==frequncy_clk*1000-1) begin//24k时钟频率
      con_t<=0;
      end
      else begin
        con_t<=con_t+1;
      end

    if(con_t==0) begin
       s_pulse<=1;
    end
    else begin
       s_pulse<=0;
    end

    if(s_pulse) begin
        if(s_num==9) begin
           s_num<=0;
        end
        else begin
            s_num<=s_num+1;
        end
    end
end    

endmodule    
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值