vivado一直综合没有结果,查看综合的log,发现一直卡在Translating synthesized netlist不动。

查看vivado的综合log,发现到这一步就不再进行了:

INFO: [Project 1-571] Translating synthesized netlist

解决方法:

下载下面连接中的文件,将win64中的libPortability.dll文件复制替换  C:\Xilinx\Vivado\2019.2\ids_lite\ISE\lib\nt64 文件夹中的同名文件

链接:https://pan.baidu.com/s/1N_iSWByUbKaoc0m4YH7JCA?pwd=1111 
提取码:1111

vivado综合日志文件是runme.log,它记录了Vivado在运行过程中的一些基本信息,对于设计分析非常有帮助。无论是综合还是实现,Vivado在运行过程中都会生成这个日志文件。文件位于相应的Design Runs目录下,例如,综合的Design Runs目录名为synth_1,那么runme.log就在这个目录下。通过查看runme.log文件,可以获取关于Vivado综合过程中的详细信息,包括综合失败时的错误提示。如果综合失败且从错误提示中无法找到原因,可以打开工程文件夹中的<project_name>.runs/synth_1或<project_name>.runs/XXX_synth_1(使用OOC综合时)文件夹,并查看runme.log文件和.vivado.error.rst文件(后者仅在综合失败时出现)。此外,如果在综合阶段使用了属性RETIMING_BACKWARD或RETIMING_FORWARD,runme.log文件中也会包含相应的信息。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Vivado log文件包含什么信息(1)](https://blog.csdn.net/yundanfengqing_nuc/article/details/125043682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado综合失败但没有报错, log中出现“系统找不到指定的路径](https://blog.csdn.net/sinat_29072079/article/details/113063471)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值