自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 【FPGA】Vivado报错:IP is Locked

工程从一个电脑拷贝到另一个电脑,或IP目录发生变化,打开工程后发现提示“IP is locked”.

2024-06-17 21:29:28 215

原创 【FPGA】 [BD 41-237] Bus Interface property FREQ_HZ does not match between xxxx and xxxx

(2)在properties下找到FREQ_HZ属性。(3)修改为一致的频点。

2024-06-17 21:12:57 216

原创 【FPGA】ILA错误:Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock..

(2)如果有多个ILA,有的ILA时钟常供,有的ILA时钟可能会没有,那么通过修改自动生成的如下约束选择到常供的时钟即可。ILA的debug hub时钟需要连接到一个常供的时钟上,如果连接的时钟没有时钟即会报上述错误。(1)首先要确保所抓的信号的时钟是提供的。

2024-06-17 20:57:15 336

原创 【FPGA】 [filemgmt 56-181]...The ‘xilinx.com:ip:clk_wiz:6.0‘ core does not support module reference.

生成的PLL通过代码例化的方式又加到Block Design中,PLL不支持这种例化方式。查看IP的SUPPORTS_MODREF属性,打钩情况下才可以支持这种例化方式。将例化PLL的代码打包成IP,再通过IP的是否加入Block Design中。

2024-06-14 23:27:55 183

原创 【FPGA】[Synth 8-5535] port <adc_clk_out> has illegal connections.

而PLL的输入时钟是由外部差分时钟输入后已经经过一个BUFG,造成BUF级联。修改PLL输入参考时钟自动插入的IBUF。

2024-06-14 23:06:59 246

原创 【ADC&FPGA】基于ZCU102+FMCJESDADC1的数据采集项目之篇(5):补充AXI SPI设计

上一篇中,简述中搭建PS工程的概貌。但其中AD9250_SPI_TOP如何设计和集成,一笔带过。思来想去,还是补充描述些AD9250_SPI_TOP的设计。

2024-06-11 23:29:54 416

原创 【ADC&FPGA】基于ZCU102+FMCJESDADC1的数据采集项目之篇(4):搭建PS工程

本篇的目的就是:搭建一个带PS处理器的工程,并通过PS端的AXI总线和总线桥连接,分出2个AXI Master端口。其中一路连到AD9250_SPI_TOP模块,该模块可根据AXI的命令产生SPI的读写时序。另外一路连到AD9250_JESD_TOP模块,该模块包括JESD控制器及所需的Serdes,通过AXI可读写JESD控制器寄存器。所以,总结来说:本篇的工程就是为了在PS处理器可以访问SPI接口及JESD寄存器,为后续调试做提供硬件基础。

2024-05-30 23:17:44 347

原创 【ADC&FPGA】基于ZCU102+FMCJESDADC1的数据采集项目之篇(3):SPI配置

如何对FMC子板上的AD9517和AD9250芯片做配置,这是个让人困惑的问题。不就是简单的SPI配置嘛,为什么让人困惑呢?主要是因为:FMC子板上的AD9517和AD9250芯片的SPI不是和FPGA直接连接的,中间还有个CPLD。AD9517的SPI和2个AD9250的SPI都是跟CPLD相连。而CPLD只有一个SPI与FPGA相连。如下图所示:可以推测的是,CPLD肯定对SPI做某种译码,但是在网上实在找不到关于这个CPLD对SPI的处理描述。

2024-05-28 22:59:08 570

原创 【ADC&FPGA】基于ZCU102+FMCJESDADC1的数据采集项目之篇(2):阶段目标

如前篇所述,终极目标是:通过纯FPGA控制把FMCJESDADC1子板上的2路ADC数据采集到FPGA内部,并对采集到的数据做解调处理。基于上述考虑,本项目分2个阶段完成。

2024-05-26 23:41:27 802

原创 【ADC&FPGA】基于ZCU102+FMCJESDADC1的数据采集项目之篇(1):项目介绍

项目目标简单来说,就是基于ZCU102 FPGA平台,通过FMC把FMCJESDADC1子板上的2路ADC数据采集到FPGA内部,并对采集到的数据做解调处理,恢复出基带信号。 需实现的关键功能: (1)在FPGA上实现SPI Master功能,并通过其完成ADC子板上时钟芯片(AD9517-3)及2路ADC芯片(AD9250)的配置。 (2)实现JESD204b接口,并与AD9250完成链路建立和数据接收,重新拼接恢复出ADC数据。

2024-05-24 23:53:56 1295 1

原创 【ASIC】VCS报Error-[VCS_COM_UNE] Cannot find VCS compiler解决方法

[xxxx@localhost ~]$ vcs -hError-[VCS_COM_UNE] Cannot find VCS compiler VCS compiler not found. Environment variable VCS_HOME (/opt/eda/synopsys/vcs-mx/N-2017.12-SP2/linux) is selecting a directory in which there isn't a compiler '/opt/eda/syn.

2021-09-08 22:59:50 7562 2

原创 【FPGA】Vivado综合停滞、死机(PID Not Specified)解决方法

在学FPGA的过程中发现:Vivado一点run synthesis就挂死,原来还以为只是综合时间比较长,但等了30分钟还是没有综合完成。并且不管多简单的工程都结果都一样。仔细查看了vivado的log,发现Message里面有个Problem encountered:PID not specified.后面就没有其它信息了,问题应该出现在这里了,压根综合没跑起来。看log也发现后续没跑起来:start_guiopen_project E:/projec/run_le..

2021-08-29 00:24:16 15215 4

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除