Verilog设计:设计一个分频器,要求输出频率是输入频率的一半

行为级建模:

module fenping(clk,clk_half,reset);
input clk;
input reset;
output clk_half;
wire clk,reset;
reg clk_half;
always@(posedge clk)
        if(reset)
        clk_half<=1'b0;
        else
        clk_half<=~clk_half;
endmodule

仿真代码:

module Simfenpin_2;
   reg clk,reset;
   wire clk_half;
   fenping d_2(.clk(clk),.clk_half(clk_half),.reset(reset));
   always 
   #10 clk=~clk; 
   initial
   begin
    reset=1'b1;
    clk=1'b1;
    #12 reset=1'b0;
    end
endmodule

仿真波形图:

 

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值