ModelSim显示模拟波形

问题是,要看一个振幅调制的输出波形,ModelSim默认的是数字输出,想直接看波形。

这个问题应该不难,想想看ModelSim肯定支持这种功能,只是要摸索一下。一般都是点右键,果然有一个Format,里面有一个Analog选项,点上它,弹出了对话框,先用默认的试试看,输出波形感觉显示的幅度太小,看起来不方便,再回过头来把对话框里的值调整一下,得到了一个比较好的波形。不过问题就出现了,出来的波形上下重叠了。

 

菜单栏里逐个找了一遍,发现也有一个Format,里面有height这个选项。打开看,默认是17,改大一点看,比如50,输出显示的信号之间的间距就拉大了,就不会再重叠了。

  • 5
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值