FPGA练习:编码器和译码器

本练习把一个八位的 onehot 编码转换成三位的二进制数。

编码器端口配置:
在这里插入图片描述

编码器代码:

module encoder(
	x,
	en,
	y);

	input [7:0] x;
	input en;
	output [2:0] y;

	wire [7:0] x;
	wire en;
	reg [2:0] y;

	always @ (en or x)
	begin
		if (en)
		begin
			case (x)
				8'b00000001 : y = 3'b000;
				8'b00000010 : y = 3'b001;
				8'b00000100 : y = 3'b010;
				8'b00001000 : y = 3'b011;
				8'b00010000 : y = 3'b100;
				8'b00100000 : y = 3'b101;
				8'b01000000 : y = 3'b110;
				8'b10000000 : y = 3'b111;
				default : y = 3'b000;
			endcase
		end
	end
	
endmodule    //encoder

译码器端口配置:
在这里插入图片描述

译码器代码:

module decoder(
	din,
	en,
	out);

	input [2:0] din;
	input en;
	output [7:0] out;

	wire [2:0] din;
	wire en;
	wire [7:0] out;

	assign out = (en) ? (1 << din)  :  8'b0;
endmodule    //decoder

测试电路设计:

在这里插入图片描述

测试代码:

module encoder_test();

	reg [2:0] data;
	reg en;
	wire [2:0] out;
	wire [7:0] decoder2_out;

	initial begin
		data = 3'b000;
		en = 0;
		#1 data = 3'b000;
		en = 1;
		#1 data = 3'b001;
		#1 data = 3'b010;
		#1 data = 3'b011;
		#1 data = 3'b100;
		#1 data = 3'b101;
		#1 data = 3'b110;
		#1 data = 3'b111;
		#1 data = 3'b000;
		#1 data = 3'b001;
		#1 $finish;
	end
	initial begin
		$dumpfile ("... .../encoder_test.vcd");
		$dumpvars;
	end
	
	encoder encoder1(
		.x(decoder2_out),
		.en(en),
		.y(out));

	decoder decoder2(
		.din(data),
		.en(en),
		.out(decoder2_out));

endmodule

运行一下看看波形:
在这里插入图片描述

很不错!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

许野平

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值