自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Reborn Lee

个人微信公众号:FPGA LAB

  • 博客(16)
  • 资源 (36)
  • 收藏
  • 关注

原创 Cadence 16.6 安装记录

下载后如下图:双击setup,先安装License Manager:默认路径安装:安装完成后跳出License File Location 选项:点击cancel:之后安装product installation:默认路径,一路next即可,最后点击Finish:以上步骤完成,之后就是破解软件了:现将这个路径中的orSimSetup.dl...

2019-07-31 20:04:02 1889

原创 IC/FPGA校招笔试题分析(二)任意切换的时钟分频电路

今天的笔试题是某芸科技的现场笔试题,数字前端的笔试题,要求很简单,就是现场写出代码实现:任意切换1-8分频,且无论奇分频还是偶分频,占空比均为50%,我至今仍然认为,在那种紧张且时间有限的情况下(本科大约预留15分钟),真的能设计出这种可任意切换的分频电路(之前有所准备的话可以),反正我是没写出来,笔试归来,我花了多个小时的时间写了一个且仿真了下。个人认为,这个电路的设计的步骤分为两部分,...

2019-07-27 21:59:35 6114 8

原创 IC/FPGA校招笔试题分析(一)

这是某发科IC现场笔试的一道题目,题目不难,但现场来看,还是有点疑惑点。

2019-07-24 22:28:30 5046 7

原创 用于初始化Xilinx 存储 IP核的COE文件格式

Xilinx中有很多和存储相关的IP核都需要初始化,例如ROM,RAM,移位寄存器等,初始化的其中之一方式就是使用COE文件来初始化,另一种方式就是初始化为默认的值。两者都有各自的用途,各自的方便之处。当使用COE文件时,并不是弄一串某进制的数据放到文件中即可,而是需要加上一些Xilinx能够识别的“头”:memory_initialization_radix = 16;memory...

2019-07-24 11:27:27 3625

原创 控制信号(单脉冲信号)的跨时钟域传输问题

控制信号(单脉冲信号)的跨时钟域传输问题存在两种情况,一种是从快时钟域到慢时钟域传输,如果用慢时钟强行采样快时钟域内的控制信号,可能存在采样不到信号的情况,而且很大概率采不到信号;另一种情况是从慢时钟域到快时钟域的控制信号传输问题,这种情况,快时钟一定能采样到慢时钟域内的控制信号,但是可能出现亚稳态问题;下面针对这两种情况进行处理:快时钟到慢时钟有两个时钟域A和B,脉冲a在时钟域...

2019-07-21 17:46:09 5070 16

原创 FPGA的LVDS电平以及LVDS25电平能在HR Bank上使用吗?

在FPGA的HR BANK上供电3.3V,先就其差分端口而言,LVDS电平以及LVDS25电平能否约束到这个BANK上呢?解决这个问题前,先了解下1、什么是HR Bank以及HP bank:Xilinx的7系列FPGA有两种IO Bank:HP(High Performace)和HR(High Range)。HP(high-performance)I/O banks的设计目的是为了获取...

2019-07-21 15:01:20 17231 11

原创 CCS如何恢复到默认界面?

通常由于手贱的原因,关掉了ccs的某些窗口,导致后面调试DSP程序的时候非常难受,这里简单记录下如何恢复到默认的界面。其实,你想看什么,都可以通过View以及Window窗口去调出来,这里不再多说,只说调出默认界面:如此即可。...

2019-07-21 14:44:34 20473 6

原创 Quartus 13.1安装心得

安装参考链接:FPGA学习系列:1.quartus II 13.1 软件安装以及破解果然成功了,之前也试着安装过,都没有成功,这次谈谈安装重要心得。为什么要用Quartus?虽然Quartus用起来没有Vivado之类的舒服(个人感觉哈),但是都是软件,干这一行的,类似软件不会用,有点说不过去。其次,Quartus的RTL原理图,效果是真的好看。安装需要注意的是,包括下载的时候...

2019-07-20 17:07:54 4623 1

原创 Vivado中Debug的用法总结

本文总结使用Vivado进行Debug的三种方式,总结起来,第二种最好用啦。

2019-07-20 15:55:41 17182 10

转载 跨时钟域传输问题

1、时钟域概念:假如设计中所有的触发器都使用一个全局网络,比如FPGA的主时钟输入,那么我们说这个设计只有一个时钟域。假如设计有两个输入时钟,如图1所示,一个时钟给接口1使用,另一给接口2使用,那么我们说这个设计中有两个时钟域。2、同步时钟域与异步时钟域概念:同步时钟域是指时钟频率和相位具有一定关系的时钟域,并非一定只有频率和相位相同的时钟才是同步时钟域。异步时钟域的两...

2019-07-18 22:46:54 3919 1

原创 搞个笑?用Verilog产生一个三角波吧!

写了这么一个幼儿级别的程序,描述一个三角波的Verilog产生办法,至于三角波的周期没有进行可调性设计,仅仅是为了测试而用,如果需要某个频率的三角波,可以自己调节代码。本不想放上面的,但是也不舍得扔,就搞个笑吧。`timescale 1ns / 1psmodule Triangle_Wave_Gen( input clk, input rst_n, output re...

2019-07-10 21:06:31 6814 4

原创 遇见BUG(2)去掉你的增量编译使能!

在使用Verilog进行项目开发的时候会遇到各种各样的错误,语法错误还好,容易排查,但是遇到了逻辑错误以及其他隐形的错误总是让人头大,下面这个问题,用一种非人类语言提示的错误,着实让人望而生畏,不过也并非不能解决,这得益于前人遇到过这种问题,并给出了解决方案,见文末参考链接。行为仿真时错误提示:[VRFC 10-3032] 'xbip_pipe_v3_0_5.xbip_pipe_v3_0_...

2019-07-10 11:10:00 5641 6

原创 遇见BUG(5)如何找到VHDL的包呢?

由于实在不是太懂VHDL,而工程中难免会遇到前人留下的程序中使用的就是VHDL,于是遇到报错难免会有点头大。昨天晚上就把别人的VHDL程序移植了过来,本以为大功告成,没想到出现如下这种错误,没能解决,留到了今天:package 'ary1' not found in library 'work'?先定位到提示错误的模块的地方:原想这部分程序是照搬别人的呀,怎么会出错呢?...

2019-07-09 10:46:03 1661

原创 【FPGA】SRIO例子程序仿真分析实践

当我们去看有关高速串行总线与并行总线相比较的优点,会发现有这么一条描述,说串行总线能实现分割式数据传输,所有的串行总线都使用包括包和分割式数据传输协议进行数据传输。串行总线有包的概念,包可以有包界定符号,包起始控制符,包结束控制符以及中间的数据信息,CRC校验信息等。这篇博文,我们就通过仿真来理解下这句话的含义,看看我们是如何发送数据以及如何接收到数据的。这里稍微偏题,总结下串行总线相较于...

2019-07-03 23:05:52 5761 1

原创 【FPGA】SRIO中的关键问题总结(一)SRIO中的关键数据包格式总结

这篇博文的内容主要是一些数据包的格式,包括FPGA SRIO端最重要的逻辑层数据包格式HELLO格式,此外还有数据最终的格式,也就是通过高速串口发送出去的包的格式。这篇博文在参考了数据手册以及博文:Xilinx RapidIO核仿真与包时序分析的基础之上,通过仿真提取时刻需要查询的内容而作。在此十分干些上述博文的作者,他是我见过的对SRIO数据手册翻译的最好的作者。这篇博文的最后,我也附上了他的链接,虽然素未蒙面,但是我真的很感谢他。

2019-07-03 14:43:15 13426 5

原创 assign和always组合逻辑块,孰优孰劣?

不知大家有没有和我同样的感受,遇到这样的assign表达式(从两个值中选一个)时:wire pause_count;reg [4:0] count31;wire [4:0] count31_nxt;assign count31_nxt = (count31 = 'd31) ? 'd0 : (count31 + 1);就会觉得一目了然,意义明确,一行语句把问题描述的明明白白。但...

2019-07-02 10:25:13 4903

反馈清零以及反馈置数计数器(内含Verilog HDL代码及文档)

任意模值计数器包含反馈清零计数器以及反馈置数计数器等,本文档给出了反馈清零计数器和反馈置数计数器的verilog设计代码以及文档描述,仿真等

2018-05-19

模60计数器(通过计数器级联得到)

下面描述的是一个模60计数器,该计数器通过将模10计数器和模6计数器级联的方式构成,每当模10计数器计数到1001时,模6计数器就会计数加1,直至计数到60时,即模6计数器到达0101、模10计数器到达1001时,计数状态又回到00000000,然后重新计数。

2018-05-19

数字时钟计数器(包含代码及说明文档)

简单的数字时钟计数器,其实现方法也是通过计数器的级联,由两个模60计数器和一个模24计数器子模块共同构成,下面的这段代码采用结构性描述方法,U1,U2,U3为调用的两个模60计数器和一个模24计数器子模块,模60计数器实现分秒的计数,模24计数器实现小时的计数。

2018-05-19

乘法器的verilog HDL设计汇总

从做实验遇到Wallace树乘法器开始,对乘法器的理解受到了阻碍,于是接下来的一个星期,专门研究汇总乘法器的verilog HDL设计,最终算是大概完成。这里给出了7种乘法器的设计。希望遇到问题而无助的你能够找到方向。

2018-05-15

移位相加乘法器的verilog HDL设计代码

从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加。直至被乘数的最高位。

2018-05-15

流水线乘法器verilog HDL代码设计

多级流水线结构,是一种并行的方式,将相邻的两个部分的结果再加到最终的输出乘积上,即排列成一个二叉树形式的结构。

2018-05-15

串行乘法器verilog HDL设计代码

移位相加法乘法器设计原理是从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加,直至被乘数的最高位。

2018-05-15

查表法乘法器verilog HDL设计代码及其测试文件

查找表乘法器就是将乘积放在存储器中,将操作数作为地址访问存储器,得到的输出结果就是乘法器的运算结果。这种乘法器的运算速度就等于所使用的存储器的速度,一般用于较小规模的乘法器。

2018-05-15

复数乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括复数乘法器以及其测试文件,复数乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的复数乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括向量乘法器以及其测试文件,向量乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的向量乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码

基于Wallace乘法器生成乘积项,向量乘法器自然而然得到。

2018-05-14

复数乘法器的verilog HDL设计代码

复数乘法器本身十分很简单,这里复数乘法器的乘积项的计算调用了wallace树乘法器,故本乘法器的verilog HDL代码中包括了wallace树乘法器模块。仔细内容请浏览我的博客。

2018-05-14

Wallace树乘法器专题研究

看了很多个博客,看了很多本书,就为了研究一个wallace树乘法器,研究了几天,没有任何一个资源把这个问题写的仔仔细细,明明白白,痛苦万分。功夫不负有心人,经过几天的研究以及同学的帮助,最终解决了这个问题,于是同大家分享。希望你们遇到这个问题能够前进地更容易点。

2018-05-14

Wallace树乘法器verilog代码

在乘法器的设计中采用树形乘法器,可以减少关键路径和所需的加法器单元数目,Wallace树乘法器就是其中的一种。下面以一个4*4位乘法器为例介绍Wallace树乘法器及其Verilog HDL实现。

2018-05-14

巴克码相关器设计

巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误的情况下检测巴克码序列峰值。

2018-05-05

数字频率计verilog代码

设计一个8位数字显示的简易频率计。能够测试10Hz~10MHz的防波信号。电路的基准时钟为1Hz,要求测量值以8421BCD码形式输出。系统有复位键。

2018-05-05

chapter2_clocks_resets

文中谈到了FPGA以及ASIC设计中的复位策略,对于实际工程实践以及笔试面试还有专业人员阅读都是很好的资料,复位是一个常谈的话题,这个文档就能让你明白复位的设计。

2020-06-10

AN10007-Jitter-and-measurement

时钟抖动问题很常见,也是笔试面试常考的内容,该文档提供了时钟抖动的定义以及测量方式,对于想要了解时钟抖动的学生以及专业人士可以参考。

2020-06-10

Best-FPGA-Development-Practices-2014-02-20

这是一篇讲解FPGA开发的论文,从PCB设计、RTL设计以及FPGA设计的各种流程都有涉及,有兴趣的可以看下!

2020-06-10

aurora_8b10b_0_ex_framing.7z

这是一个用户接口格式为framing格式的aurora协议工程,配合博客使用:https://reborn.blog.csdn.net/article/details/106088264 详细分析在博客上。

2020-05-14

aurora streaming工程例子程序.zip

自己定制的一个通道的aurora IP核生成的例子程序,包含仿真,仅仅是为了学习aurora协议,streaming 用户接口。

2020-05-13

KC705.rar压缩包

资源内容包括Kc705相关资料,原理图(kc705_Schematic_xtp132_rev1_1)(ug810_KC705_Eval_Bd)(ug883_K7_KC705_Eval_Kit)(xapp554-xadc-layout-guidelines)

2019-12-31

阵列信号处理及其MATLAB实现

很多人求之不得的一本书,这本书对于阵列信号处理的学习至关重要,可以这么难说,弄懂了这本书,你的科研道路将会容易很多,但是弄懂也不容易哦。

2018-11-15

Spartan-6 Libraries Guide for HDL Designs

本文档是Spartan-6的设计元素用户手册,包括各种原语,IP核以及硬件宏等底层资源的详细讲解。

2018-08-11

EV10AQ190(ADC采样芯片)数据手册

本ADC采样芯片说明书说明了ADC采样的工作模式,以及一些时序图,对硬件的学习很有帮助!

2018-07-15

西电数值分析ppt合集

数值积分是一门重要的学科,展现了一些列运算的数值计算方法,这些方法可以直接应用到计算机中,例如微积分运算,曾在高等数学中计算的方法,不适合用于编程实现,或者计算机实现,而数值积分正是研究这些问题的,数值积分内容很多,需要认真研究。本教程只包括知识点的介绍,不包括计算机编程的知识。

2018-07-12

数值分析总览

本讲义为数值分析讲义的绪论,大体介绍了数值分析的发展,以及数值分析解决的一些列问题,让我们对数值分析有一个总体的认识,这是后面学习的一个铺垫,同时,本教程也讲了绝对误差,相对误差,有效数字以及它们之间的关系,仔细阅读能让人受益匪浅。

2018-06-28

插值与曲线拟合专题

插值问题和函数逼近问题都可归结为函数逼近问题,本文档重要讲插值法,包括一般插值概念,由于其局限性,由此引申到拉格朗日插值,牛顿插值,分段低次插值等等。

2018-06-28

信号产生器

内含状态转移类型信号产生器、移位寄存器型信号产生器、计数器加组合输出网络类型信号产生器

2018-05-20

8421BCD码计数器(内含文档及Verilog HDL设计代码)

计数器实现的模制为24,clr为异步清零信号,当时钟上升沿到来或clr下降沿到来, clr = 0时,计数器清零为0000_0000。该计数器的计数过程为,当输出信号的低4位(即 dout[3:0])从0000计数到1001后(即十进制的0 ~ 9),高4位(即dout[3:4])计数加1,当计数计到23时(即0010_0011),计数器又清零为0000_0000,然后重新开始计数。

2018-05-19

可逆计数器(内含文档及Verilog HDL设计代码)

可逆计数器是一种双向计数器,可以进行递增计数,也可以进行递减计数,根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1或减1的操作。 下面描述的是一个位宽为4的可逆计数器,即该计数器在不同控制信号下可以分别实现加法计数和减法计数的功能。

2018-05-19

环形计数器、扭环计数器(内含文档及verilog HDL代码)

移位型计数器包括环形计数器以及扭环计数器,原理差不多,几乎相同,但又各自有各自的精彩之处。本资源包括二者的Verilog HDL设计代码以及文档分析。

2018-05-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除