自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Reborn Lee

个人微信公众号:FPGA LAB

  • 博客(16)
  • 资源 (36)
  • 收藏
  • 关注

原创 Vivado 随笔(5) 行为仿真(Behavior Simulation)相关事宜?

目录仿真界面仿真文件结构Scope & Object开辟新窗口这篇文章主要解决多个仿真窗口显示的问题,但是为了内容的完整性,顺便记录一些有关仿真的相关事宜。这里只讲如何使用Vivado自己的仿真工具XSIM,而不涉及第三方仿真工具。仿真界面如下为仿真界面下常使用的功能:仿真文件结构这里所说的仿真文件结构不是指仿真文件(TB文件)的内容结构,...

2019-10-31 21:38:32 4307 1

原创 遇见BUG(3)critical warning也要关注!

在Vivado调试工程时候,是不是有这么一个习惯(至少是我),只要不是error,哪怕再多的critical warning,也不多看一眼,认为与我无关,只是相应的EDA工具多此一举。嗯,,,有时候固然如此,工具不太智能,但是我们要发挥人为的价值,练就火眼金睛,去察觉哪些会对我们的工程产生影响,哪些有必要解决。今天的猪脚是如下的critical warning:[Common 17...

2019-10-24 15:48:17 8056 1

原创 如何使用word自动生成目录?

要写毕业论文了,可是尴尬的是自己对word的理解,也只停留在手动码字的阶段,今天开始,学一学有关word的相关技巧,有助于论文的高效写作,以及以后工作文档的写作效率。写给出成果显示:1、设置章节样式步骤一:按住【Ctrl】键将一级标题全部选中->点击菜单栏中的【标题1】即可将所有的一级标题设置样式;步骤二:按住【Ctrl】键将二级标题全部选中->点击菜单栏中的...

2019-10-23 21:47:15 2189

原创 Vivado 随笔(3) 其他综合属性 dont_touch、fsm_encoding?

目录dont_touchfsm_encodingdont_touch可以参考:Vivado中如何避免信号被优化掉?fsm_encoding我们在RTL设计中,在状态机的设计中,会给状态变量一些状态编码,在parameter中给出,例如:这是二进制编码:这是格雷码:这是独热码:但是在RTL中这么设计真的有用吗?或者说综合工具就会给综合...

2019-10-23 17:28:52 3524

原创 Vivado 随笔(4) 创建及管理多个Runs?

目录为什么要创建多个runs?如果创建多个runs?runs的类型相关的TCL命令为什么要创建多个runs?你有没有遇到过这种情况,我建立的一个Vivado项目,需要在不同的板子上使用,如果仅仅使用一个runs的话, 那么我必须创建两个Vivado项目,分别选择不同的fpga芯片,或者来回切换fpga芯片类型?这都不是好的选择,我们可以通过创建多个runs来解决这...

2019-10-23 14:40:44 3492 3

原创 Win7桌面快捷切换技巧?

为了高效使用电脑,快捷操作必不可少?今日根据需求,记录两个快捷操作。如何快速切换电脑桌面?同时按下win+D键,就能马上返回到电脑桌面。如何快速切换电脑桌面?桌面上有多个项目打开,按ALT+TAB(先按ALT不放,在接着按TAB或者用鼠标选择) 打开桌面多个项目后,按WIN+TAB(先按WIN不放,在接着按TAB)...

2019-10-23 11:25:17 2887 1

原创 Vivado 随笔(2) 综合属性之use_dsp48?

目录背景正文测试放置在Module前放置在变量声明前附加测试放置在某个变量声明前放置在Module前相关链接背景在做一些FPGA算法的时候,我们不可避免的使用到大量的算术运算,例如复数乘法器,乘法器,加法器,减法器,还有乘加,乘减,乘累加等等。我们都知道FPGA底层有专用的DSP48E资源,也有大量的逻辑资源,放着专用资源DSP48E不用,或者只用D...

2019-10-22 23:16:02 8002 1

原创 Vivado 随笔(1) 综合属性之 ram_style & rom_style?

目录背景正文相关文献背景在很多情况下,我们会定义一个二维数组来作为RAM或者ROM来使用,例如我们在设计一个异步FIFO时,我们需要例化一个双端口RAM来作为FIFO的存储空间,当然我们可以通过例化一个IP核的方式去做(可以直接在IP核定制时候选择使用Block RAM或者Distributed RAM资源),但是如果我们不去设计这么一个IP核呢?我们就可以直接定义一个二位...

2019-10-22 21:50:41 8085 6

原创 【 FPGA 】16点并行DIT FFT的实现

目录整体架构介绍旋转因子介绍代码文件结构重点难点易错点整体架构介绍16点并行FFT分为4级蝶形运算,每一级蝶形运算有一个基本的蝶形单元:如下是16点DIT FFT的数据流图:可见,第0级蝶形运算的输入的顺序是:x(0)、x(8)、x(4)、x(12)、x(2)、x(10)、x(6)、x(14)、x(1)、x(9)、x(5)、x(13)、x(3)、x(11...

2019-10-19 17:29:02 21208 19

原创 【 Sublime Text 】如何将Sublime Text与Vivado 2018.3关联?

之前写过 Vivado2018如何与Notepad++关联?但是,当弃用Notepad++,转向Sublime Text之后,如何将Vivado与Sublime Text关联呢?其实方法一致,这里简单记录:如下一二三四步:在上图4中,输入如下路径:C:/Program Files (x86)/SublimeText3_181108/sublime_text.exe [fil...

2019-10-14 20:46:48 2745 2

原创 【 Sublime Text 】如何使用Sublime Text直接生成Verilog例化模板

目录背景具体实现方式其他方法参考链接背景可以这么说,我们使用Sublime Text来编写Verilog代码最希望拥有的功能也就是自动生成Verilog例化模板,这也是我准备弃用notepad++,而转向Sublime Text的主要原因。具体实现方式生成例化模板的功能可以用过插件来实现:插件下载地址:插件下载官网如下图:输入Verilog可见:...

2019-10-14 20:26:03 5068 2

原创 【 Sublime Text 】如何使用Sublime Text快速生成代码模板

目录背景实现Verilog模板参考文章背景写的代码多了,会发现几乎每次都要敲那几行代码,例如写三段式状态机,我们只需要改变下内容即可,外壳永远都是一样,有没有方法来快速生成这样的模板呢?今天看到了这么一个快速生成模板的方法,记录下来,方便以后快速开发。今天只是使用了sublime,这个软件的好处在于可以生成Verilog模块例化模板,如何做呢?见这篇博客。(准备弃用...

2019-10-14 18:44:47 2431

原创 环形、扭环、LFSR计数器

目录环形计数器扭环计数器线性反馈移位寄存器从这个题目来谈起今天的话题:移位寄存器由8级触发器构成,则构成的扭环计数器有多少个有效状态?环形计数器?线性反馈移位寄存器?环形计数器规则:环形计算器的规则是利用一个移位寄存器右移实现,N位的环形计数器能计数的个数为N;也就是说,有N个有效的状态;如开头所说的题目,8级移位寄存器构成的环形计数器,能有8个有效状态;如下图...

2019-10-05 21:23:53 14131

原创 有重叠与无重叠序列之序列检测与序列产生

序列检测与序列产生是一对对称的设计,就像有微分就有积分一样。序列检测分为有重叠检测和无重叠检测;例如检测序列1101011,我们给出输入:110101101011,如果是无重叠检测,则只能检测到一个序列:1101011_01011;如果是有重叠检测,则可以检测到两个这样的序列:11010_11_01011。同理,序列产生也可以分为有重叠序列的产生方法和无重叠序列的产生方法,序列产生的办法也可以用移位寄存器产生,也可以用状态机的方式来产生;这两种方法后面都是提到。由于序列检测我们之前写的太

2019-10-05 17:13:23 5088

原创 关于三段式状态机第三段是组合逻辑还是时序逻辑的问题?

由于本人一直以来,用的三段式状态机,第三段写法都是组合逻辑写法,但是近期有小伙伴面试小公司,写到状态机的第三段时候,按照我一直用到的组合逻辑来写第三段,提供输出,被提出了质疑,曰:我们一直用的都是时序逻辑来写第三段?由于本人从来没有遇到过这种质疑,所以具体什么情况也不是太清楚,仅仅以此篇博客来作为一种测试,解答第三段如何写的问题。以序列检测器为例,我们分别提供组合逻辑以及时序逻辑来实现第三...

2019-10-01 22:35:10 6298 18

原创 通过举例谈谈阻塞赋值与非阻塞赋值的区别

这篇博客,通过举例说明:非阻塞赋值和阻塞赋值的区别?一般非阻塞赋值用于时序逻辑,而阻塞赋值用于组合逻辑;非阻塞赋值语句是并行执行的,等到一个时钟完成后才完成赋值,而阻塞赋值是顺序执行的,下一条赋值语句要等到上一条赋值语句完成后才能赋值,并且阻塞赋值是立即完成的;例如:always@(posedge clk) beginb <= a;c <= b;end...

2019-10-01 20:04:03 7112 2

可逆计数器(内含文档及Verilog HDL设计代码)

可逆计数器是一种双向计数器,可以进行递增计数,也可以进行递减计数,根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1或减1的操作。 下面描述的是一个位宽为4的可逆计数器,即该计数器在不同控制信号下可以分别实现加法计数和减法计数的功能。

2018-05-19

环形计数器、扭环计数器(内含文档及verilog HDL代码)

移位型计数器包括环形计数器以及扭环计数器,原理差不多,几乎相同,但又各自有各自的精彩之处。本资源包括二者的Verilog HDL设计代码以及文档分析。

2018-05-19

反馈清零以及反馈置数计数器(内含Verilog HDL代码及文档)

任意模值计数器包含反馈清零计数器以及反馈置数计数器等,本文档给出了反馈清零计数器和反馈置数计数器的verilog设计代码以及文档描述,仿真等

2018-05-19

模60计数器(通过计数器级联得到)

下面描述的是一个模60计数器,该计数器通过将模10计数器和模6计数器级联的方式构成,每当模10计数器计数到1001时,模6计数器就会计数加1,直至计数到60时,即模6计数器到达0101、模10计数器到达1001时,计数状态又回到00000000,然后重新计数。

2018-05-19

数字时钟计数器(包含代码及说明文档)

简单的数字时钟计数器,其实现方法也是通过计数器的级联,由两个模60计数器和一个模24计数器子模块共同构成,下面的这段代码采用结构性描述方法,U1,U2,U3为调用的两个模60计数器和一个模24计数器子模块,模60计数器实现分秒的计数,模24计数器实现小时的计数。

2018-05-19

乘法器的verilog HDL设计汇总

从做实验遇到Wallace树乘法器开始,对乘法器的理解受到了阻碍,于是接下来的一个星期,专门研究汇总乘法器的verilog HDL设计,最终算是大概完成。这里给出了7种乘法器的设计。希望遇到问题而无助的你能够找到方向。

2018-05-15

移位相加乘法器的verilog HDL设计代码

从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加。直至被乘数的最高位。

2018-05-15

流水线乘法器verilog HDL代码设计

多级流水线结构,是一种并行的方式,将相邻的两个部分的结果再加到最终的输出乘积上,即排列成一个二叉树形式的结构。

2018-05-15

串行乘法器verilog HDL设计代码

移位相加法乘法器设计原理是从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加,直至被乘数的最高位。

2018-05-15

查表法乘法器verilog HDL设计代码及其测试文件

查找表乘法器就是将乘积放在存储器中,将操作数作为地址访问存储器,得到的输出结果就是乘法器的运算结果。这种乘法器的运算速度就等于所使用的存储器的速度,一般用于较小规模的乘法器。

2018-05-15

复数乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括复数乘法器以及其测试文件,复数乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的复数乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括向量乘法器以及其测试文件,向量乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的向量乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码

基于Wallace乘法器生成乘积项,向量乘法器自然而然得到。

2018-05-14

复数乘法器的verilog HDL设计代码

复数乘法器本身十分很简单,这里复数乘法器的乘积项的计算调用了wallace树乘法器,故本乘法器的verilog HDL代码中包括了wallace树乘法器模块。仔细内容请浏览我的博客。

2018-05-14

Wallace树乘法器专题研究

看了很多个博客,看了很多本书,就为了研究一个wallace树乘法器,研究了几天,没有任何一个资源把这个问题写的仔仔细细,明明白白,痛苦万分。功夫不负有心人,经过几天的研究以及同学的帮助,最终解决了这个问题,于是同大家分享。希望你们遇到这个问题能够前进地更容易点。

2018-05-14

Wallace树乘法器verilog代码

在乘法器的设计中采用树形乘法器,可以减少关键路径和所需的加法器单元数目,Wallace树乘法器就是其中的一种。下面以一个4*4位乘法器为例介绍Wallace树乘法器及其Verilog HDL实现。

2018-05-14

巴克码相关器设计

巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误的情况下检测巴克码序列峰值。

2018-05-05

数字频率计verilog代码

设计一个8位数字显示的简易频率计。能够测试10Hz~10MHz的防波信号。电路的基准时钟为1Hz,要求测量值以8421BCD码形式输出。系统有复位键。

2018-05-05

chapter2_clocks_resets

文中谈到了FPGA以及ASIC设计中的复位策略,对于实际工程实践以及笔试面试还有专业人员阅读都是很好的资料,复位是一个常谈的话题,这个文档就能让你明白复位的设计。

2020-06-10

AN10007-Jitter-and-measurement

时钟抖动问题很常见,也是笔试面试常考的内容,该文档提供了时钟抖动的定义以及测量方式,对于想要了解时钟抖动的学生以及专业人士可以参考。

2020-06-10

Best-FPGA-Development-Practices-2014-02-20

这是一篇讲解FPGA开发的论文,从PCB设计、RTL设计以及FPGA设计的各种流程都有涉及,有兴趣的可以看下!

2020-06-10

aurora_8b10b_0_ex_framing.7z

这是一个用户接口格式为framing格式的aurora协议工程,配合博客使用:https://reborn.blog.csdn.net/article/details/106088264 详细分析在博客上。

2020-05-14

aurora streaming工程例子程序.zip

自己定制的一个通道的aurora IP核生成的例子程序,包含仿真,仅仅是为了学习aurora协议,streaming 用户接口。

2020-05-13

KC705.rar压缩包

资源内容包括Kc705相关资料,原理图(kc705_Schematic_xtp132_rev1_1)(ug810_KC705_Eval_Bd)(ug883_K7_KC705_Eval_Kit)(xapp554-xadc-layout-guidelines)

2019-12-31

边沿检测与慢时钟同步?

自己写的笔记,然后导出来一个文档,供大家学习使用,爱下不下。

2019-05-08

MOBILE LOCALIZATON METHOD BASED ON MULTIDIMENSIONAL SIMILARITY ANALYSIS

基于噪声子空间的新方法应用于来自三个基站(BS)的到达时间(TOA)测量的最小定位系统。 由于移动台(MS)和BS之间的距离测量类似于它们坐标之间的多维相似性(MDS),我们将MS坐标表示为BS坐标的线性组合,其中权重向量位于噪声中 MDS矩阵的子空间。 证明了当由三个BS形成的三角形作为参考框架时,该权重向量是MS的区域坐标。 --------------------- 作者:李锐博恩(Reborn) 来源:CSDN 原文:https://blog.csdn.net/Reborn_Lee/article/details/84202353 版权声明:本文为博主原创文章,转载请附上博文链接!

2018-11-18

阵列信号处理及其MATLAB实现

很多人求之不得的一本书,这本书对于阵列信号处理的学习至关重要,可以这么难说,弄懂了这本书,你的科研道路将会容易很多,但是弄懂也不容易哦。

2018-11-15

如何理解贝叶斯公式?

知乎上对贝叶斯公式的理解,对我有帮助,把它存在了笔记上,现在不用笔记了,所以为了资源的丢失,上上传上来吧,如果你也需要,岂不更好?

2018-09-30

Spartan-6 Libraries Guide for HDL Designs

本文档是Spartan-6的设计元素用户手册,包括各种原语,IP核以及硬件宏等底层资源的详细讲解。

2018-08-11

EV10AQ190(ADC采样芯片)数据手册

本ADC采样芯片说明书说明了ADC采样的工作模式,以及一些时序图,对硬件的学习很有帮助!

2018-07-15

西电数值分析ppt合集

数值积分是一门重要的学科,展现了一些列运算的数值计算方法,这些方法可以直接应用到计算机中,例如微积分运算,曾在高等数学中计算的方法,不适合用于编程实现,或者计算机实现,而数值积分正是研究这些问题的,数值积分内容很多,需要认真研究。本教程只包括知识点的介绍,不包括计算机编程的知识。

2018-07-12

数值分析总览

本讲义为数值分析讲义的绪论,大体介绍了数值分析的发展,以及数值分析解决的一些列问题,让我们对数值分析有一个总体的认识,这是后面学习的一个铺垫,同时,本教程也讲了绝对误差,相对误差,有效数字以及它们之间的关系,仔细阅读能让人受益匪浅。

2018-06-28

插值与曲线拟合专题

插值问题和函数逼近问题都可归结为函数逼近问题,本文档重要讲插值法,包括一般插值概念,由于其局限性,由此引申到拉格朗日插值,牛顿插值,分段低次插值等等。

2018-06-28

信号产生器

内含状态转移类型信号产生器、移位寄存器型信号产生器、计数器加组合输出网络类型信号产生器

2018-05-20

8421BCD码计数器(内含文档及Verilog HDL设计代码)

计数器实现的模制为24,clr为异步清零信号,当时钟上升沿到来或clr下降沿到来, clr = 0时,计数器清零为0000_0000。该计数器的计数过程为,当输出信号的低4位(即 dout[3:0])从0000计数到1001后(即十进制的0 ~ 9),高4位(即dout[3:4])计数加1,当计数计到23时(即0010_0011),计数器又清零为0000_0000,然后重新开始计数。

2018-05-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除