自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(75)
  • 资源 (1)
  • 收藏
  • 关注

原创 怎么看待生成式AI的未来发展方向

这需要建立完善的法律法规和道德规范,确保生成式AI的发展朝着有利于人类社会的方向进行。在医疗领域,生成式AI可以用于生成个性化的治疗方案、医学影像分析、健康监测报告等。生成式AI可以用于生成个性化的学习内容和培训材料,适应不同学习者的需求。AI教师和虚拟助教可以根据学生的学习进度和兴趣生成定制化的教学计划和题目。模型透明性与可解释性:当前的生成式AI模型往往是“黑箱”,难以解释其生成内容的过程和依据。公平与偏见:AI模型可能会继承和放大训练数据中的偏见,导致生成内容的不公平和歧视。

2024-07-26 14:30:42 209

原创 什么是环形放大器 Ring Amplifier

环形放大器是一种具有高增益、低功耗和快速响应特点的新型放大器,在数据转换、射频前端和传感器接口等领域具有广泛的应用前景。环形放大器(Ring Amplifier)是一种新型的模拟电路元件,近年来在数据转换器和射频前端等领域得到了广泛的研究和应用。它结合了传统放大器和振荡器的特点,具有高增益、低功耗和快速响应的优势。传感器接口:在传感器信号处理电路中,环形放大器用于放大微弱的传感器信号,增强系统的灵敏度。射频前端:在无线通信系统中,环形放大器用于射频信号的放大,具有高线性度和低功耗的优点。

2024-07-25 13:15:54 253

原创 临界阻尼、欠阻尼和过阻尼的区别

临界阻尼、欠阻尼和过阻尼是描述阻尼系统响应特性的三个术语,尤其是在讨论二阶系统的动态响应时。过阻尼是指系统的阻尼过大,导致系统返回平衡位置的时间比临界阻尼更长。这三种阻尼情况在实际工程和物理系统中各有应用,选择适当的阻尼类型取决于系统的具体需求和性能要求。在实际应用中,临界阻尼常用于需要快速稳定且不允许振荡的系统,如汽车悬架系统和精密仪器。欠阻尼是指系统的阻尼不足以阻止振荡,但系统最终仍能恢复到平衡位置。在一些应用中,过阻尼用于需要缓慢、平稳响应的系统,例如一些精密测量仪器和控制系统。

2024-07-25 13:12:39 325

原创 如何看待微软蓝屏事件

由于这一问题,许多银行的系统中断,多个机场的航班被迫停飞,911紧急服务系统也受到了影响​ (The Gateway Pundit)​。CrowdStrike确认这一问题是由于其发布的更新导致的,并表示他们的工程团队已经在努力解决这一问题​ (mint)​​ (Benzinga)​。微软这次大范围的蓝屏事件主要由CrowdStrike的更新引起,导致全球范围内许多用户的系统出现了蓝屏死机现象。软件更新测试不充分:这次事件表明,在发布关键更新前,必须进行更全面的测试,以避免类似问题的发生。

2024-07-24 14:22:43 381

原创 MIPS指令集简介及使用说明

格式:opcode (6 bits) | rs (5 bits) | rt (5 bits) | rd (5 bits) | shamt (5 bits) | funct (6 bits)**比较和分支指令:**如 beq(相等时分支)、bne(不相等时分支),用于条件分支和无条件跳转。**数据传输指令:**如 lw(加载字)和 sw(存储字),用于在寄存器和内存之间传输数据。****简洁性:****MIPS指令集只有几种基本的指令格式,这使得它非常易于理解和实现。

2024-07-24 13:37:10 605

原创 什么是模拟电路敏捷设计

*布局生成和优化(Layout Generation and Optimization):**利用工具自动生成电路的物理布局,并通过 DRC(设计规则检查)和 LVS(版图与原理图一致性检查)确保布局的正确性和可制造性。**参数提取和模型验证(Parameter Extraction and Model Validation):**从布局中提取电路参数,并进行模型验证,确保实际制造的电路性能与仿真结果一致。高速电路设计:在高速电路设计中,自动化工具可以帮助进行精确的参数优化和仿真验证,确保电路性能。

2024-07-24 13:29:01 309

原创 机器学习中的 K-均值聚类算法及其优缺点

K-均值算法的目标是找到簇中心的位置,使得各簇内的数据点与中心点的距离之和最小。K-均值聚类算法作为一种基础的聚类算法,因其简单高效而广泛应用于各个领域,但在实际应用中需要结合数据特点和业务需求进行适当调整和优化。簇的形状限制:K-均值假设簇是凸的和均匀分布的,不能很好地处理复杂形状的簇或大小差异较大的簇。对噪声和异常值敏感:K-均值算法对噪声和异常值非常敏感,这些异常点可能会显著影响簇的形成。对初始中心点敏感:K-均值算法对初始中心点选择敏感,不同的初始点可能会导致不同的结果。

2024-07-24 13:24:12 324

原创 TensorFlow 的基本概念和使用场景

它的核心概念包括张量、计算图和会话,并提供了丰富的 API 和工具,简化了模型的构建和训练过程。阶是指张量的维度数,形状是指每一维度的大小,类型是指数据的类型(如浮点数、整数等)。TensorFlow 提供了高层次的 API,如 tf.keras 和 tf.estimator,简化了模型的构建和训练过程。TensorFlow 也支持强化学习任务,通过与 OpenAI Gym 等工具结合,开发者可以构建和训练智能体,在不同的环境中进行决策和学习。通过会话,用户可以在计算图中执行操作,并获取结果。

2024-07-24 13:19:42 311

原创 混合波束赋形接收机动态范围—从理论到实践

本文回顾了子阵列波束赋形接收机的分析,重点是处理模拟子阵列中信号合并点处的信号增益与噪声增益之间的差异。本文分析了开发平台接收机性能,并与测量结果进行了比较。从右到左观察框图,可以直观地理解该架构:空中的波前入射到天线元件,经过微波电路到达数据转换器,再进行数字处理后形成最终的数字波束数据。相控阵波束赋形架构大致可分为模拟波束赋形系统、数字波束赋形系统或以上两者的某种组合——采用模拟子阵列,经过数字处理后形成最终天线波束方向图。后一类(基于数字组合的子阵列)结合了模拟和数字波束赋形,通常称为混合波束赋形。

2024-07-24 10:52:58 402

原创 100个python的基本语法知识【下】

这些知识点涵盖了Python编程的基本语法和常用功能。

2024-07-23 19:00:27 4111 2

原创 100个python的基本语法知识【上】

浮点数(float)

2024-07-23 18:47:35 3711 5

原创 数字IC后端流程简述

工具:Cadence Innovus、Synopsys IC Compiler II、Mentor Graphics Olympus-SoC。工具:Cadence Innovus、Synopsys IC Compiler II、Mentor Graphics Olympus-SoC。工具:Cadence Innovus、Synopsys IC Compiler II、Mentor Graphics Olympus-SoC。目标:包括设计规则检查(DRC)和布局与电路验证(LVS),确保布局符合工艺要求。

2024-07-22 20:25:05 1018

原创 Event-Driven ADC的介绍

事件驱动模数转换器(Event-Driven Analog-to-Digital Converter, ED-ADC)是一种不同于传统定时采样ADC的模数转换器,它根据输入信号的变化触发采样,而不是以固定的时间间隔进行采样。这样的方法在信号变化缓慢或稀疏的情况下能够显著减少不必要的采样和数据处理,提高能效和系统性能。事件驱动ADC通过根据输入信号的变化触发采样,相比传统的定时采样方法,能够显著提高系统的能效和响应速度。提高能效:减少不必要的采样和数据处理,节省能量,特别适用于电池供电的系统。

2024-07-19 18:34:15 193

原创 用python实现一个带图形界面的五子棋游戏,棋盘大小可自定义

要用Python实现一个带图形用户界面的可自定义棋盘大小的五子棋游戏,可以使用 tkinter 库。click 方法处理鼠标点击事件,计算点击位置的坐标,并调用 place_stone 和 check_winner 方法更新棋盘状态和检查胜利条件。使用 create_rectangle 和 create_text 方法在画布上绘制格子和棋子。运行这个代码,你将获得一个带图形用户界面的五子棋游戏,棋盘大小可由用户输入自定义。place_stone 方法在给定坐标放置当前玩家的棋子。方法初始化棋盘和当前玩家。

2024-07-19 13:28:51 290

原创 用python实现一个五子棋游戏,棋盘大小是20x20

下面是用Python实现的一个简单的五子棋游戏,棋盘大小为20x20。它通过在四个方向(水平、垂直、两条对角线)上计数连续的棋子数来判断是否满足五子连珠的条件。play方法是游戏的主循环,处理玩家输入、更新棋盘、检查胜利条件和切换玩家。游戏结束:当一个玩家在任意方向上连续放置五个棋子时,该玩家获胜,游戏结束。__init__方法初始化一个20x20的棋盘,并设置当前玩家为’X’。输入移动:按提示输入棋子的行和列位置,例如0 0表示在左上角放置棋子。place_stone方法在给定坐标放置当前玩家的棋子。

2024-07-19 13:22:55 258

原创 vco-based adc非线性来源和解决方案

VCO-based ADC的非线性主要来源于VCO的增益非线性、相位噪声、电源噪声、温度漂移和寄生效应。理想情况下,VCO的输出频率应该是输入电压的线性函数,然而,实际的VCO在工作范围内,其增益 𝐾𝑉𝐶𝑂并不是一个常数,而是随输入电压变化的,导致频率响应曲线呈现非线性。在ADC的工作过程中,定期进行校准,测量并补偿VCO的非线性误差。选择设计线性度较高的VCO结构,例如差分结构或多级振荡器结构,以减小VCO的增益非线性。在VCO的电源输入端添加滤波器,减少电源噪声对VCO的影响。

2024-07-19 13:16:11 344

原创 用verilog写一个可以实现有符号数的32位乘法器,代码可综合

此代码假设存在时钟clk和复位信号rst_n,以及一个开始信号start。Booth’s Algorithm减少了部分乘法器的复杂性,但仍需确保合成工具和目标FPGA支持该设计。由于符号扩展和移位操作,Booth’s Algorithm适用于有符号数乘法,但需要小心处理信号的位宽和符号位。以下是一个可以综合的Verilog代码,旨在实现一个有符号数的32位乘法器。start信号高电平时,初始化multiplicand、accumulator、multiplier和counter。

2024-07-19 13:11:02 262

原创 C语言实现内存管理

在C语言中,内存管理是一个非常重要的环节,特别是动态分配的内存需要手动释放,以避免内存泄漏。通过上述示例,可以看到在C语言中动态分配和释放内存的基本方法。对于更复杂的场景,可能需要更细致的内存管理,但基本原理是相同的。如果使用calloc函数分配内存,其行为与malloc类似,但calloc会将分配的内存初始化为零。如果需要重新分配内存,可以使用realloc函数,但需要注意原来的指针可能会失效。动态分配的内存必须使用free函数释放,否则会造成内存泄漏。释放内存后,指针不再有效,不能再访问。

2024-07-18 12:14:40 167

原创 用c语言实现一个bnnNet

相比于传统的深度神经网络(DNN),二值神经网络(Binary Neural Networks,BNNs)相对简单一些,因为BNNs中的权重和激活值被限制为二进制值(通常是+1和-1)。为了演示方便,这里只实现一个简单的二值感知器(Binary Perceptron),用于二分类任务。init_binary_perceptron 用于初始化二值感知器的权重,权重被初始化为+1或-1。forward 函数计算输入和权重的加权和,并通过符号函数进行激活,生成二值输出。进行前向传播并打印输出结果。

2024-07-18 11:53:53 302

原创 用c语言实现一个alexnet

由于C语言的低级操作和内存管理,编写和调试这样的代码需要非常小心,确保内存正确分配和释放。在C语言中实现一个完整的AlexNet神经网络是一个复杂的任务,涉及大量的矩阵运算和卷积操作。这个示例代码将涵盖AlexNet的主要组件,包括卷积层、ReLU激活函数、池化层和全连接层。另外,C语言不支持自动微分,因此我们不会实现反向传播和训练部分,只实现前向传播。init_conv_layer 和 init_fc_layer 用于初始化卷积层和全连接层的权重和偏置。fc_forward 函数实现全连接层的前向传播。

2024-07-18 11:43:15 249

原创 ssh远程登录另一台linux电脑

大部分的博客内容所说的安装好ssh服务后,terminal输入ssh -p port_number clientname@server_ip之后输入密码等等就可以登上别人的电脑但是这是有一个前提的,就是这两台电脑要在同一个局域网下面。如果很远呢?远到不在同一个网下面怎么办?(对于类似于gnome的窗口方案有效。)服务端:1.服务器和客户端都需安装openssh-server、ope...

2024-07-17 16:38:24 315 1

原创 用selenium爬取动态网页

Selenium 是一个用于自动化 Web 浏览器的工具,适用于爬取动态网页。下面是一个使用 Python 和 Selenium 爬取动态网页的示例。假设我们要爬取一个加载动态内容的网页,并提取其中的一些数据。浏览器驱动:Selenium 需要浏览器驱动来与浏览器进行交互。以 Chrome 为例,你需要下载 ChromeDriver 并将其添加到系统路径中。Selenium:使用以下命令安装 Selenium。Python:确保安装了 Python 3.x。

2024-07-17 12:19:30 319

原创 时间交织ADC基本原理、误差来源与校准方法

在这种结构中,每个ADC通道以相同的速率工作,但它们的采样时间在时域上错开,从而实现总体上更高的采样速率。在TI-ADC中,假设有N个ADC通道,每个通道以采样周期T进行采样,但相邻通道之间的采样时间差为T/N。每个ADC通道的时钟相位误差会导致采样时间的不一致,从而引起时域采样点的偏差。例如,假设有4个通道(N=4),每个通道以1GSPS的速率工作,那么整体系统的采样速率将达到4GSPS。以下是一个详细的Verilog代码示例,用于4通道时间交织ADC的误差校准,包括增益、偏移和时钟相位误差校准。

2024-07-17 12:02:44 949 1

原创 用java写一个带图形界面的贪吃蛇游戏

下面是一个使用Java编写的带图形界面的贪吃蛇游戏。这个游戏使用了Java的Swing库来创建图形界面,并实现了基本的游戏逻辑,包括蛇的移动、食物生成和碰撞检测。这个示例提供了一个基本的贪吃蛇游戏框架,包括蛇的移动、食物生成和简单的碰撞检测。创建 src/resources/ 目录,并将 dot.png、apple.png 和 head.png 放入该目录。将上面的代码分别放在 SnakeGame.java 和 GamePanel.java 文件中。head.png:表示蛇的头部。

2024-07-17 11:45:32 268

原创 时间域ADC介绍

与传统的逐次逼近式ADC(Successive Approximation ADC)或Σ-Δ ADC(Sigma-Delta ADC)不同,时间域ADC利用时间量化的概念,通过测量信号在时间上的特定事件(如脉冲宽度或延迟)来进行模拟信号的数字化。时间域ADC作为模数转换器领域的一种重要技术,通过其独特的时间量化原理,提供了高速、低功耗和抗混频能力的优势。抗混频和抖动:由于直接利用时间差进行量化,时间域ADC对于混频和抖动有较好的抑制能力,适合于复杂环境下的应用。

2024-07-16 22:07:03 430

原创 用python写一个爬虫,爬取google中关于蛇的照片

请注意,这种爬取行为可能违反Google的使用条款,因此建议在合法和允许的情况下使用。以下是一个基本的Python爬虫示例,使用Requests库发送HTTP请求,并使用Beautiful Soup库解析HTML内容。fetch_google_images 函数负责发送HTTP GET请求到Google图片搜索页面,并使用Beautiful Soup解析页面内容,提取图片链接。合法性和使用政策:请遵循Google的使用政策和法律法规,确保你的爬取行为合法。

2024-07-16 21:58:18 868

原创 用c语言写一个贪吃蛇游戏

下面是一个基本的贪吃蛇游戏的C语言实现,适用于终端环境。注意,这个示例比较简单,没有加入复杂的边界检测、游戏结束判断等功能,可以根据需要进行扩展。以下是一个基本的实现示例,包括贪吃蛇的移动、食物生成、碰撞检测等功能。游戏以命令行界面展示,使用 # 表示游戏边界,O 表示贪吃蛇身体,@ 表示食物。游戏地图和终端绘制:使用二维数组表示游戏地图,通过终端输出来实现游戏界面。食物的生成和消失:随机生成食物,蛇吃到食物时长度增加,重新生成食物。初始状态下,贪吃蛇在游戏区域的中心,游戏随机生成食物。

2024-07-16 21:52:46 335

原创 用python写一个tcp/ip协议的聊天软件

编写一个基于TCP/IP协议的简单聊天软件,可以让多个客户端连接到服务器,实现简单的文本消息收发功能。下面是一个基本的示例,涵盖了服务器端和客户端的实现。客户端可以输入消息并按回车发送到服务器,服务器会将消息广播给所有连接的客户端。这只是一个简单的示例,没有加入身份验证、加密等安全性措施,请根据实际需求添加。程序中使用了多线程来处理服务器和客户端的并发连接和消息收发。在实际使用中,需要考虑异常处理、网络稳定性等因素。客户端输入 exit 并按回车退出聊天。在另一个命令行窗口中执行。,启动服务器监听端口。

2024-07-16 21:49:57 341

原创 SCP 使用教程

SCP(Secure Copy Protocol)是一种通过加密的方式在本地主机和远程主机之间安全地传输文件的协议。它是基于SSH协议的扩展,允许用户在不同主机之间进行文件复制和传输,是Linux和Unix系统中常用的工具之一。SCP是一个简单而强大的工具,用于在本地主机和远程主机之间安全传输文件。网络连接:SCP需要网络连接,确保网络稳定和远程主机可访问。[选项]:用于指定SCP的选项,例如用户名、端口号等。[来源路径]:指定要复制的源文件或目录的路径。[目标路径]:指定文件或目录复制到的目标路径。

2024-07-16 21:43:59 727 1

原创 linux下ntfs磁盘修复

我们自己的Windows分区/驱动器也会出现问题,那时我们可以用手边的Linux环境和一些NTFS分区恢复工具来修复它们。简单修复Windows 系统通常能很好的处理异常的“冷重启”(断电,关闭插线板的电源,或家里的小人儿手指随意按导致关机)。事实上,至今为止,最有效的修复Windows桌面问题的方法就是简单的重启系统。但是,Windows分区偶尔会显示系统需要进行驱动器一致性检测。不这么做的...

2024-07-16 21:36:07 299 1

原创 pynq报错ieee80211 phy0: rt2x00usb_vendor_request

很不幸的给pynq插上买的芯片是RT5370的无线网卡后出现了这种情况,阅读一下看看处理什么错误再看看error的类型,似乎是usb线的某个寄存器的值出了问题。检查并确保你的设备上安装的固件是最新的。因为买的时候说是免驱的,这个RT5370的网卡在ubuntu16、18驱动的配置是不用自己处理的,连上自己的电脑后,真的就没什么问题。确保你的PYNQ设备上的无线网卡驱动程序是最新的。同时也就发现了这个网卡的驱动的维护已经7、8年没改动过了。原本以为是驱动的问题,所以也是去网站上找了驱动的源代码。

2024-07-16 21:33:25 143

原创 cython加速python代码

这个文件是一个Python和C混合的源文件,其中包含Cython语法和标准的Python语法。为了将Cython代码编译为C语言代码并构建Python扩展模块,需要创建一个 setup.py 文件。在你的Python代码中,通过import语句导入已经编译好的Cython扩展模块,然后像普通Python模块一样使用它。这将生成一个编译好的扩展模块(通常是 .so 或 .pyd 文件),可以被Python直接导入和使用。python这个语言在使用的层面上看几乎没有缺点,简单易学,语法简单,唯一的弱点就是慢,

2024-07-16 21:26:20 400 1

原创 如何在linux中给vim编辑器添加插件

通过以上步骤,你可以在 Linux 中轻松地使用插件管理器为 Vim 添加和管理插件,以增强编辑器的功能和自定义性。·在上述示例中,将 插件作者/插件名称 替换为你想要安装的实际插件的 GitHub 用户名和仓库名。安装完成后,通过 :NERDTree 命令在 Vim 中打开 NERDTree 插件,浏览文件系统。假设你想安装一个名为 NERDTree 的插件,它是一个用于在 Vim 中浏览文件系统的常用插件。·打开你的 ~/.vimrc 文件(如果不存在则创建),添加插件管理器的配置。

2024-07-16 21:18:26 178

原创 VCO-based ADC介绍

VCO的非线性主要源于其核心电路的非线性特性、电源和偏置的影响、温度效应、二阶和高阶效应,以及设计和制造工艺上的限制。总结来说,VCO-based ADC结合了振荡器的频率特性和数字技术的优势,为高速和低功耗的模数转换提供了一种有效的解决方案,但设计工程师需要综合考虑其优缺点,选择适合具体应用需求的ADC技术。例如,晶体管在工作点变化时,其输出电流与控制电压之间的关系可能不是严格的线性关系,导致振荡器频率输出不是完全线性的。VCO的频率输出受到电源稳定性和偏置电压精度的影响。

2024-07-16 21:12:33 984

原创 如何理解VCO-based ADC自带一阶抗混叠滤波特性

最后一项为 𝑆𝑖𝑛𝑐 函数,在采样频率 Fs 的整数倍处为 0,因此可以得知VCO 对于前端输入信号具有天然的抗混叠滤波特性,可以简化信号链路中消耗大量功耗和面积的显式抗混叠滤波器设计。

2024-07-12 13:54:20 520

原创 Virtuoso中Job Policy Setup和Run Options的选项说明

我们在这里讨论的是两个设置。 第一个设置是 " Job Policy Setup ",在 ADE XL 窗口中选择 "Options"->"Job Setup"即可进入。 第二个设置是 "Run Options ",通过选择 "Options"->"Run Options"来访问。

2024-07-12 13:46:49 302

原创 使用搜索引擎进行高效搜索

可能反馈是包含“桃花”、“侠客”、“大战”、“菊花怪物”的奇怪内容。就会按照所给的这个”桃花侠大战菊花怪"这个字符串进行精确的匹配;但是在前后加上英文的双引号。"桃花侠大战菊花怪"

2023-10-31 16:40:34 89

原创 virtuoso61x中集成calibre

服务器上安装有virtuoso和calibre,但是打开layout xl画完版图准备进行drc和lvs的时候发现toolbar上没有calibre选项,考虑是cadence启动过程中没有加载calibre提供的skl脚本。以virtuoso618为例,在搭建完电路、完成前仿工作之后绘制版图,版图绘制完成之后需要进行drc和lvs【仅对于学校内部通常的模拟后端流程而言】,一般采用mentor的calibre来完成drc和lvs。

2023-08-26 10:46:40 2498

原创 Virtuoso61x中一些使用技巧【持续更新】

Virtuoso61X中一些使用技巧

2022-12-15 09:54:16 317 1

原创 集成电路设计——闩锁效应

【总是单纯将闩锁效应看成是形成pnp二极管正偏带来大的漏电流烧坏衬底,还是想着写详细点,也希望自己记得详细点的】定义当然还是这样:闩锁效应是指 CMOS 器件所固有的寄生双极晶体管被触发导通, 在电源和地之间存在一个低阻通路,大电流,导致电路无法正常工作,甚至烧毁电路。从源-阱-衬底,总是能够形成pnp(npn)的二极管的结构,并且由于(以n阱中的p掺杂-n阱-p衬底形成的pnp为例)本身的从n阱到p衬底已经形成反偏结构,因此只要n阱中的p掺杂的电压高于n阱的阱电位,就会形成cmos电路的闩锁效应。

2021-03-10 23:24:15 5664

用于CTDSM中quantizer的Matlab脚本实现

用于CTDSM中quantizer的Matlab脚本实现

2024-07-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除