数字IC后端流程简述

1. 设计输入
目标:接收前端设计(如RTL代码和约束文件)的输出。
工具:前端设计工具(如Synopsys Design Compiler或Cadence Genus)。
步骤:
确保前端设计的RTL代码经过综合并生成了门级网表(Netlist)。
收集约束文件(Constraints),如时序约束(SDC文件)、功率约束等。

2. 综合(Synthesis)
目标:将高层次的RTL代码转化为门级网表。
工具:Synopsys Design Compiler、Cadence Genus、Mentor Graphics Precision。
步骤:
加载RTL代码和约束文件。
运行综合工具,将RTL代码转化为门级网表。
检查并优化网表,确保其符合设计约束和规范。

read_verilog design.v
read_sdc constraints.sdc
compile_ultra
write -format verilog -hierarchy -output synthesized_netlist.v

3. 布局(Floorplanning)
目标:确定芯片上各个模块的位置和大小。
工具:Cadence Innovus、Synopsys IC Compiler II、Mentor Graphics Olympus-SoC。
步骤:
导入网表和约束文件。
定义芯片边界和电源/地网格。
确定模块的宏单元和I/O单元位置。

floorPlan -site core 
  • 7
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值