ISE自带仿真器报错:ERROR:Simulator:861 – Failed to link the design 解决办法

Win 10 64bit实测有效

原文链接

http://irootlee.com/isim/

以下原文:


问题综述:

我使用的是windows 10 32位专业版系统,电脑装的是ISE14.4版本,当我用此ISE自带的仿真器ISIM来仿真时,仿真器总是报错ERROR:Simulator:861 – Failed to link the design。
多次检查Verilog代码,确认没有错误,但仿真是依然是这个问题,于是我用google搜索了一下,发现只要是Windows 8 版本以上的系统都会出现这个问题,但仍不知怎么解决。最终,我在一个国外的电子工程论坛上找到了解决的办法,虽然不知道解决问题的原理是什么,但我试了一下,方法确实有效。
为了防止以后再次遇到该问题,特将解决办法记录下来。

解决办法:

找到“安装目录\Xilinx\14.x\ISE_DS\ISE\gnu\MinGW\5.0.0\nt\libexec\gcc\mingw32\3.4.2\collect2.exe”并将其删除,重新运行仿真器,问题得到解决!!


  • 62
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 47
    评论
评论 47
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值