基于FPGA的Sobel算法实现

4 篇文章 1 订阅
2 篇文章 0 订阅

1、图像的简单预处理对于FPGA来说是十分简单的,本篇博客是以源码的形式实现了一个行列分别均为128个长度图片做边缘检测,未对边界做进一步处理,给需要的人一些修改空间。
2、程序中都是源码,未采用任何IP核。
vivado:201901
matlab:2019a
3、模块图像输入输出均采用axis接口,其中tuser信号在输入和输出的第一个像素时被拉高,指示一帧的开始,last在输入和输出最后一个像素被拉高,表示一帧的结束。模块需要两种时钟,算法核模块需要略高于接口模块,窗口为3x3形式,参数输入为有符号数。
4、首先用matlab将图像数据写入txt.

clear;
clc;
I=imread('test.bmp');
figure(1);
imshow(I);
I2=rgb2gray(I);
gray_pic=I2';
figure(2);
imshow(I2);

fid1 = fopen('image_gray.txt','wt');
fprintf(fid1,'%g\n',gray_pic);
fclose(fid1);

5、vivado 的tb中

//图像属性
localparam  IM_NUM_ROW = 128;
localparam  IM_NUM_COL = 128;

//载入图像
reg [7:0] image_dat [0:IM_NUM_ROW*IM_NUM_COL-1];

integer file_gray;
integer i;
integer j_gray;

initial begin
	file_gray=$fopen("../../../../../matlab/image_gray.txt","r");
    for (i=0; i<IM_NUM_ROW*IM_NUM_COL; i=i+1) begin  
		j_gray = $fscanf(file_gray,"%d",image_dat[i][7:0]);
    end	
	$fclose(file_gray);
end
//...
//...
integer image_out;

initial begin
	image_out = $fopen("../../../../../matlab/image_gray_out.txt","w");
	wait (data_finish == 1);
	#10000;
	$fclose(image_out);
	$stop;
end

always@(posedge axis_aclk) begin
	if(m_axis_tready && m_axis_tvalid) begin
		$fdisplay(image_out,"%d",m_axis_tdata[7:0]);
	end
end

仿真图如下
在这里插入图片描述

6、将vivado的输出导入matlab (此处有一个bug,因为未对边界做处理,所以图像的数据输出有x,在读取前,将x替换为0)

imagegrayout=load('image_gray_out.txt','r');
I3 = reshape(imagegrayout,128,128);
I4 = I3'./128;
figure(3);
imshow(I4);

7、运行matlab

在这里插入图片描述
8、程序还需要进一步修改,鉴于最近较忙,发上资源链接,对于有需要的人,自由发挥吧。
9、需要用到
状态机
axis流接口
帧数据整形
xpm_fifo_async原语配置
xpm_memory_sdpram原语配置
有符号数运算等
10、资源链接https://download.csdn.net/download/sinat_39724439/13373177

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值