FPGA试题一

1.下列对异步信号进行同步的描述错误的是©
A、采用保持寄存器加握手信号的方法
B、特殊的具体应用电路结构,根据应用的不同而不同
C、使用锁存器
D、异步FIFO
2. FPGA的可编程是主要基于什么结构(A)。
A、查找表(LUT)
B、ROM可编程
C、PAL可编程
D、与或阵列可编程
3.对时钟约束" create_clock -name clk100 -period 10 -waveform {0.00 5.00}[get_portsClkIn] "的表述不正确的是(B)。
A、周期为10ns
B、0到5ns期间为不定态
C、占空比为50%
D、时钟信号名称为"clk100"
4.下列哪些语句不可以被综合成电路(A)。
A、initial
B、always
C、assign
D、for
5.片上可编程器件的英文缩写是(B)。
A、SOP
B、SOPC
C、SoC
D、SPI
6.下列关于同步和异步复位描述正确的是©。
A、同步复位是不受时钟影响
B、使用FPGA设计时芯片的异步复位和同步复位可随意替换使用
C、同步复位需要在时钟沿来临的时候才会对整个系统进行复位
D、同步复位最大的优点是,数据通路可以不依赖于时钟而复位可用
7.一个四位十六个状态的格雷码计数器,起始值为1001,经过100个时钟脉冲作用之后的值为(B)
A、0101
B、0011
C、0110
D、0000
8.下列关于亚稳态描述错误的是(D)。
A、电路处于中间状态的时间变长,使得电路"反应"迟钝的现象,叫做亚稳态
B、对于单比特控制信号采用二级触发器缓冲,可以几乎消除亚稳态
C、对于多比特数据可以采用握手的方式来消除亚稳态
D、异步FIFO不能用于解决亚稳态问题
9.关于FPGA芯片内存储器资源描述错误的是(B)
A、FPGA内部有BLOCK RAM存储器
B、使用BLOCK RAM资源需占用额外的逻辑资源,并且速度慢
C、BLOCK RAM由一定数量固定大小的存储块构成的
D、FPGA内部有由LUT配置成的分布式存储器
10. INTEL FPGA芯片不包括(B)。
A、Cyclone V
B、Kintex-7
C、Arria
D、Stratix
11.关于Quartus软件产生的编程文件描述错误的是(B)
A、sof是SRAM Object File,下载到FPGA中,断电丢失
B、MCS文

  • 27
    点赞
  • 160
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值