【DVCON论文】DVCON UVM Sequence相关论文

DVCON UVM Sequence相关论文

汇总

论文作者年份简要描述
A Simplified Approach Using UVM Sequence Items for Layering Protocol VerificationHaiqian Yu and Christine Thomson2017本文提出了一种简化的方法,使用 UVM 序列项来进行分层协议验证。作者描述了该方法的实现细节和优势,并探讨了其在协议验证中的应用。通过分层的方式,论文指出了如何有效地组织验证过程,提高验证效率并减少工作量。
A UVM Test-bench Skeleton Leveraging the Event Pool and Sequence LayeringMarcela Zachariasova, Jiri Bartak, Tomas Pehnelt & Jan Riha2023这篇论文介绍了一种利用事件池和序列分层的 UVM 测试台框架。作者详细描述了框架的设计和实现,并强调了其在测试台开发中的重要性和优势。通过利用事件池和序列分层,可以更有效地管理测试过程中的事件和序列,并提高测试台的灵活性和可扩展性。
Automated Generation of RAL-based UVM SequencesVijayakrishnan Rousseau, Satyajit Sinari, Benjamin Applequist, Timothy McLean, and Geddy Lallathin2020这篇论文介绍了一种基于寄存器抽象层(RAL)的 UVM 序列的自动生成方法。作者详细描述了该方法的实现原理和技术细节,并强调了其在提高验证生产率和减少错误的重要性。通过自动生成基于RAL的序列,可以减少手动编写序列的工作量,并确保序列与寄存器模型之间的一致性和准确性。
Automating sequence creation from a Microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016这篇论文介绍了一种从微体系结构规范自动创建序列的方法。作者详细描述了该方法的实现流程和技术细节,并强调了其在减少验证开发时间和提高验证质量方面的重要性。通过自动化序列的创建,可以更快速地将微体系结构规范转化为可验证的测试用例,从而加速验证流程并降低人为错误的风险。
Command Line Debug Using UVM SequencesMark Peryer2011这篇论文介绍了一种利用UVM序列进行命令行调试的方法。作者详细描述了该方法的实现原理和技术细节,并强调了其在验证调试中的重要性和优势。通过使用UVM序列,可以更有效地组织和执行调试命令,并提高调试过程的效率和可管理性。
Doing Funny Stuff with the UVM Register Layer: Experiences Using Front Door Sequences, Predictors, and CallbacksJohn Aynsley2017这篇论文探讨了在UVM寄存器层中使用前门序列、预测器和回调的有趣方法。作者提出了一种新颖的方法来增强UVM寄存器层的功能,并分享了他们的经验和发现。通过利用这些技术,他们能够更有效地管理和测试寄存器层,提高测试覆盖率并减少测试开发时间。
Easy Testbench Evolution – Styling Sequences and DriversRich Edelman, Kento Nishizawa2023这篇论文讨论了测试台的演变,重点是通过有效的样式技术来设计序列和驱动程序,以便更容易地实现。它概述了通过有效的样式技术增强测试台效率和可读性的各种策略。此外,该论文还探讨了驱动程序设计的进展,以进一步简化测试流程。总的来说,它为优化测试台开发提供了宝贵的见解,以实现更高的生产率和可维护性。
Fun with UVM Sequences – Coding and DebuggingRich Edelman2019该论文探讨了使用UVM序列进行编码和调试的乐趣。它介绍了在UVM测试台中编写和调试序列时的一些有趣的技巧和方法。论文重点讨论了如何通过有效的编码和调试技术来提高UVM序列的可靠性和效率。总的来说,这篇论文为UVM序列的编码和调试过程提供了有益的指导和建议,旨在帮助提升测试流程的质量和效率。
Keeping Your Sequences RelevantNicholas Zicha and Eric Combes2017这篇论文着重讨论了如何保持序列的相关性。它探讨了在测试台中保持序列的有效性和适用性的各种策略和技巧。论文强调了随着测试需求和环境变化,及时更新和调整序列的重要性。通过介绍有效的方法和最佳实践,论文旨在帮助测试人员确保他们的序列始终与实际需求保持一致,以提高测试的准确性和效率。
Micro-processor verification using a C++11 sequence-based stimulus engine.Stephan Bourduas and Chris Mikulis2017该论文介绍了使用基于C++11的序列驱动引擎进行微处理器验证的方法。它详细讨论了该引擎的设计和实现,重点关注了如何利用序列驱动引擎来生成有效的刺激以验证微处理器的功能和性能。论文还分析了使用该引擎进行验证时的优势和挑战,并提出了一些解决方案。总体而言,该论文提供了一种基于序列的刺激引擎的验证方法,旨在帮助提高微处理器验证的效率和可靠性。
Programming Model Inheritance and Sequence ReuseAji Varghese2016这篇论文探讨了编程模型继承和序列重用。它详细讨论了如何通过继承编程模型来实现序列的重用,从而提高测试台的效率和可维护性。论文强调了在测试开发过程中利用编程模型继承的重要性,以减少重复编写代码的工作量并提高代码的灵活性。通过介绍序列重用的最佳实践和技巧,该论文旨在为测试人员提供指导,以优化他们的测试开发流程。
PSS Action Sequence Modeling Using Machine LearningMoonki Jang, Myeongwhan Hyun, Hyunkyu Ahn, Jiwoong Kim, Yunwhan Kim, and Dongjoo Kim2022这篇论文介绍了使用机器学习进行PSS(Portable Stimulus Specification)动作序列建模的方法。它详细探讨了如何利用机器学习技术来分析和建模PSS动作序列,以自动生成测试场景和刺激。论文着重介绍了机器学习在测试生成领域的应用,以及其在PSS规范中的潜在优势和挑战。总的来说,该论文提供了一种利用机器学习技术来增强PSS测试生成能力的方法,为测试工程师提供了新的思路和方法。
Register Testing – Exploring Tests, Register Model Libraries, Sequences and Backdoor AccessRich Edelman2022该论文探讨了寄存器测试的各个方面,包括测试方法、寄存器模型库、序列和后门访问。它详细介绍了不同的测试方法和策略,以及如何利用寄存器模型库来简化测试流程。论文还讨论了序列设计的重要性以及后门访问技术在测试中的作用。总的来说,该论文提供了关于寄存器测试的综合指南,旨在帮助测试工程师更好地理解和应用寄存器测试技术。
Reuse C test and UVM sequence utilizing TLM2, register model and interrupt handlerLiu HongLiang and Gao Teng-Fei2015该论文介绍了如何重用C测试和UVM序列,利用TLM2、寄存器模型和中断处理程序。它详细讨论了如何将不同测试方法和技术结合起来,以提高测试效率和复用性。论文重点探讨了如何利用TLM2进行通信,寄存器模型进行寄存器访问以及中断处理程序进行测试流程控制。总的来说,该论文提供了一种综合的方法,旨在帮助测试工程师更好地利用现有资源并提高测试效率。
Scalable Mixed Features Stimulus Generation for Cluster Network Using Sequence DecoratorChi-Ming Li2023该论文介绍了使用序列装饰器为集群网络生成可扩展的混合特性刺激的方法。它详细探讨了如何利用序列装饰器技术来生成具有多种特性的刺激,以测试集群网络的可伸缩性。论文着重介绍了序列装饰器在测试场景生成中的应用,以及如何通过其提供的灵活性和可配置性来应对不同的测试需求。总的来说,该论文提供了一种有效的方法,旨在帮助测试工程师生成具有混合特性的刺激,从而提高集群网络测试的覆盖范围和质量。
Sequence, Sequence on the Wall – Who’s the Fairest of Them All?Rich Edelman and Raghu Ardeishar2013探讨了不同序列设计之间的比较和评估方法。论文详细讨论了各种序列设计的优缺点,并提出了一些评价序列设计优劣的标准和指标。总的来说,该论文为序列设计的选择提供了一些有益的思考和指导。
Seven Separate Sequence Styles Speed Stimulus ScenariosMark Peryer2013这篇论文讨论了七种不同的序列风格如何加速刺激场景。它详细介绍了每种序列风格的特点以及它们在生成刺激场景时的优势。论文强调了选择适合特定测试需求的序列风格的重要性,并提供了一些指导原则和最佳实践。总的来说,该论文为测试工程师提供了丰富的信息,帮助他们根据需要选择合适的序列风格,以提高刺激场景的生成速度和质量。
SGEN2: Evolution of a sequence-based stimulus engine for micro-processor verification.Stephan Bourduas and Chris Mikulis2018该论文讨论了基于序列的刺激引擎在微处理器验证中的演变。它追溯了该引擎的发展历程,重点关注了在微处理器验证过程中其所起到的作用以及随着时间的推移所经历的改进和变化。论文详细介绍了该引擎的设计原理、实现细节以及在验证流程中的应用情况。总的来说,该论文提供了一个关于基于序列的刺激引擎在微处理器验证领域的发展历程的综合概述,为该领域的研究和实践提供了宝贵的参考和指导。
There’s something wrong between Sally Sequencer and Dirk Driver – why UVM sequencers and drivers need some relationship counsellingMark Peryer2012这篇论文讨论了Sally Sequencer和Dirk Driver之间存在的问题,以及为什么UVM序列和驱动程序需要一些关系咨询。它详细探讨了UVM序列和驱动程序之间的关系以及它们在测试流程中的作用。论文强调了维护良好的序列和驱动程序之间关系的重要性,以确保测试流程的顺利进行。通过介绍一些实践建议和解决方案,论文旨在帮助测试工程师解决序列和驱动程序之间可能出现的问题,提高测试流程的效率和可靠性。
To Infinity And Beyond – Streaming Data Sequences in UVMMark Litterick, Jeff Vance, Jeff Montesano2021这篇论文探讨了在UVM中流式数据序列的应用。它详细介绍了流式数据序列的概念以及在测试台中的实际应用。论文重点讨论了如何有效地设计和管理流式数据序列,以满足日益增长的测试需求。通过介绍一些最佳实践和技巧,论文旨在帮助测试工程师充分利用流式数据序列的优势,以提高测试的灵活性和覆盖范围
Using UVM Virtual Sequencers & Virtual SequencesClifford E. Cummings and Janick Bergeron2016这篇论文讨论了使用UVM虚拟序列器和虚拟序列的方法。它详细介绍了虚拟序列器和虚拟序列的概念,以及它们在测试流程中的应用。论文重点讨论了如何利用虚拟序列器和虚拟序列来模拟复杂的测试场景,以提高测试的灵活性和覆盖范围。通过介绍实际案例和最佳实践,论文旨在帮助测试工程师更好地利用虚拟序列器和虚拟序列来进行测试,从而提高测试流程的效率和可靠性。
UVM Sequence Layering for Register SequencesMuneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy2023这篇论文探讨了使用UVM(Universal Verification Methodology)进行寄存器序列的分层设计。它详细介绍了如何利用UVM的特性来组织和管理寄存器级别的测试序列。论文重点讨论了分层设计对测试复用性和可维护性的优势,以及如何通过合理的分层策略来提高测试的效率和覆盖率。通过案例分析和实践经验,论文旨在帮助测试工程师更好地理解和应用UVM序列分层技术,以优化测试流程。
What Does The Sequence Say? Powering Productivity with PolymorphismRich Edelman2022这篇论文讨论了如何通过多态性提高生产效率。它详细介绍了多态性的概念以及在测试流程中的应用。论文重点讨论了如何利用多态性来实现灵活的测试场景和刺激生成,从而提高测试工程师的工作效率。通过介绍实际案例和最佳实践,论文旨在帮助测试工程师更好地理解和利用多态性来优化测试流程,提高产品开发的效率和质量。
You Are In a Maze of Twisty Little Sequences, All Alike – or Layering Sequences for Stimulus AbstractionRich Edelman, Adam Rose, Andreas Meyer, Raghu Ardeishar, and Jason Polychronopoulos2010这篇论文探讨了“层次序列”作为刺激抽象方法的概念。它探讨了以分层方式组织序列的复杂性,以促进更好地抽象刺激。作者提出了一个框架,其中序列被层叠以创建刺激的结构化表示,从而实现高效处理和抽象。通过实证分析和理论讨论,本文阐明了利用层次序列进行刺激抽象的益处和挑战。总的来说,它提供了关于序列组织在认知过程中的作用的宝贵见解,并为这一领域的进一步研究提供了一个框架
Automating sequence creation from a microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016这篇论文研究了从微架构规范自动创建序列的方法。它探讨了利用自动化技术来生成与微架构规范相对应的序列的可能性。作者提出了一种框架,可以根据微架构规范自动生成测试序列,从而加快了微处理器设计和验证的过程。通过实验和理论探讨,论文展示了自动化序列创建的潜力,以及其在微处理器设计领域的应用前景。
How to Reuse Sequences with the UVM-ML Open Architecture libraryHannes Fröhlich and Kishore Sur2014这篇论文介绍了如何利用UVM-ML开放式架构库来重用序列。它探讨了在基于Universal Verification Methodology (UVM)的验证环境中,如何有效地重用已有的序列以提高测试效率。作者提出了一种方法,通过UVM-ML库实现序列的模块化和重用,从而简化了验证环境的开发过程。通过实际案例和实验验证,论文展示了使用UVM-ML库重用序列的实际效益,并讨论了相关的挑战和应用前景。
Reusing Sequences in a Multi-Language environment using UVM-MLOA Hannes Fröhlich, Kishore Sur2014该论文介绍了在多语言环境下利用UVM-ML重用序列的方法。研究探讨了如何在具有不同编程语言的验证环境中有效地重用测试序列。作者提出了一种基于UVM-ML的解决方案,使得在多语言环境下轻松地重用序列成为可能。通过实例和实验验证,论文展示了这种方法的实际应用效果,并探讨了其在跨语言验证环境中的潜在挑战和未来发展方向。
  • 17
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值