【systemverilog】wait_fork

wait fork

wait fork:等待进程内及其子进程中已经提起的所有fork进程结束

class A;
	string name;
	function new (string name ="");
		this.name = name;
	endfunction
	task run (int delay,  string mark);
		fork
			# delay;
		join_none
		$display ("@%0t-----------%s_run_1", $time,  mark);
		wait fork;
		$display ("@%0t-----------%s_run_2", $time,  mark);
	endtask
enclass

module Top;
	A a = new ("a");
	initial begin
		fork 
			# 30;
		join_none
		fork 
			a.run(10, "thread1")	
       		 a.run (20, "thread2");
             wait fork;
         join
         $display ("@%0t-----------%s", $time, "testend");
     end
endmodule            

@0------------thread1_run_1
@0------------thread2_run_1
@10-----------thread1_run_2
@20-----------thread2_run_2
@20-----------test_end

从结果可以看出,在Initial 进程内, 有2个fork子进程。

第二个fork进程中wait fork 等待其该fork进程内所有的fork进程结束,直接打印test_end退出。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值