Imagination大学计划 rv-fpga实验安装教程及注意事项

1. 实验环境安装

1.1 vivado 2019.2

 网盘链接:Vivado_2019.2.tar.gz_免费高速下载|百度网盘-分享无限制 (baidu.com)

 安装教程:

网盘中vivado文件夹下载到本地后解压打开,导航到下图,打开xsetup.exe文件。如果打开后有小弹窗直接关闭即可

进入如下界面点击next:

全部勾选I Agree,点击next:

选择工具(可适当取消以减少占用空间),然后点击next:

在左边一栏选择合适的安装文件夹,其余不用更改,点击next:

然后在下个界面点击install后等待安装完成即可(时间会比较久)

安装完成后,还需要安装Digilent 的 Board 文件(可在本人主页上传的资源中下载),打开下载并解压后的vivado-boards-master文件夹,将其中new/board_files中的所有文件夹进行复制:

然后导航到你的vivado安装文件夹中,找到\Vivado\2019.2\data\boards\board_files文件夹(如果没有board_files就自己创建),并将复制的文件夹粘贴进去:

至此,vivado2019.2 安装成功,你可以尝试打开它

1.2 Visual Studio Codevscode)安装

 官网下载链接:Download Visual Studio Code - Mac, Linux, Windows

   安装简单,网上也有很多,版本没有特别要求

1.3 platformIO 安装

打开vscode,在VSCode中,单击VSCode左侧栏中的“Extensions”(扩展)图标,在搜索框中输入PlatformIO,然后单击PlatformIO IDE旁边的“Install”(安装)按钮进行安装,安装后会弹出Reload Now,点击即可(或者重新进入vscode)

安装完成后,这个左侧栏中的外星人图标点开就是platformIO

注意:实验还需要在vscode中安装Chips Alliance平台,首次打开一个示例程序后会自动安装,如果没有安装就按照以下方法安装Chips Alliance

通过单击左侧栏上的按钮查看“Quick Access”(快速访问)菜单。
然后,在“PIO Home”(PIO主页)中,依次单击按钮和选项卡。找到Chipsalliance(我们在RVfpga中使用的平台),然后单击按钮将其打开,然后点击install进行安装即可。

1.4 Cygwin安装

首先安装Cygwin:导航至安装网页(Cygwin Installation),然后下载名为setup-x86_64.exe的安装文件

打开该文件进入安装程序,点击下一页:

选择从互联网安装,点击下一页:

选择安装根目录,下一页:

选择本地软件包目录,下一页:

保持默认,下一页:

随便选择站点,下一页:

几个步骤后,将进入(选择软件包)窗口。选择完整视图

将显示可以安装的软件包的完整列表。在搜索框中,选择要安装的特定软件包。

为了能够编译Verilator并生成新的仿真器二进制文件,需要安装以下软件包,在搜索框中搜索后选择好相应的版本即可,选择下一步:

完成后点击finish即可。Cygwin终端图标

1.5 verilator安装

接下来安装verilator4.228(不要太低也尽量先不要安装5.0(包括)后的版本):打开cygwin,在cygwin终端中输入以下指令安装verilator:

git clone https://git.veripool.org/git/verilator

cd verilator 

git pull

git checkout v4.228

autoconf

 ./configure

 make

make install

  安装完成后输入 verilator --version,如果出现以下则成功:

 1.6 GTKwave安装:

GTKWave可以作为预编译软件包从

gtkwave - Browse Files at SourceForge.net 下载。查找最新的Windows软件包,然后下载该软件包并将其解压缩。可在bin文件夹内找到一个名为gtkwave的可执行文件,可以直接在Windows计算机中执行和使用该文件,无需再安装。主页资源中也同样提供了该文件可供直接下载,与前面的board文件在一起。

2. 实验注意事项

解决verilator生成波形文件的问题

方式1:直接通过Cygwin命令行 利用Vrvfpgasim.exe +ram_init_file=firmware.vh +vcd=1生成

方式2:现有的库是以前版本的 gcc/g++,因此需要更新。

在安装的Cygwin文件夹的bin文件夹中找到下图三个文件并复制

verilatorSIM文件夹中生成Vrvfpgasim.exe文件之后再将以上文件复制到你的verilatorSIM文件夹中(注意前后顺序,不然可能会报错)

或者新建一个文件夹将上述三个文件放在里面,之后每次新生成的Vrvfpgasim.exe文件放入其中后再使用就不会有问题了,这种方式更加方便(如下图):

最后再generate trace应该会看见trace.vcd文件。

    

  • 69
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

deleteeee

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值