FPGA个人学习心得(1)

(1)case语句会综合出mux或decoder,而if-else语句会综合出mux和比较器comparator;

(2)always块中赋值的变量为reg型,否则会出现error:“illegal LHS in quasi continuous assignment”

(3)always@(*)为组合逻辑,mux、decoder、encoder均为组合逻辑,case语句可以综合出来mux

(4)always@(posedge clk or negedge rst_n)为时序逻辑,会综合出DFF(寄存器)

错误1:

将always@(*)错写成always@(posedge clk or negedge rst_n),综合时多出了一个DFF,使得输出信号out延迟out2一个时钟周期;

错误2:故意将decoder4_16分别用以下语句表示,

always@(*)

always@(posedge clk or negedge rst_n)

差别仅在于,时序逻辑中decoder输出信号要经过一个DFF才能输出给out2,相较于out1延迟1个时钟周期。

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值